Skip to content

Instantly share code, notes, and snippets.

@xerrni
Created February 9, 2017 18:08
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save xerrni/b3c7eb2558f4957c21eca7f1841ed389 to your computer and use it in GitHub Desktop.
Save xerrni/b3c7eb2558f4957c21eca7f1841ed389 to your computer and use it in GitHub Desktop.
VHDL TESTBENCH do zad 18. UCYF 16Z
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tb is
end tb;
architecture arch of tb is
component kolokwium
port (clk,set: in bit; wy: out bit);
end component;
signal set,clk,wy: bit;
begin
KOL1: kolokwium port map (clk=>clk,set=>set,wy=>wy);
process
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end process;
process
begin
set <= '0';
wait for 5 ns;
set <= '1';
wait for 5 ns;
end process;
process
begin
wait for 20 ns;
assert FALSE
severity FAILURE;
end process;
end arch;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment