Skip to content

Instantly share code, notes, and snippets.

@xobs
Created September 26, 2018 13:14
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save xobs/5b3d166637a0b73715fa2ed40be004a6 to your computer and use it in GitHub Desktop.
Save xobs/5b3d166637a0b73715fa2ed40be004a6 to your computer and use it in GitHub Desktop.
xobs@Cuboid:/mnt/d/Code/cr1901/litex-buildenv$ source scripts/enter-env.sh
This script is: /mnt/d/Code/cr1901/litex-buildenv/scripts/enter-env.sh
Firmware directory: /mnt/d/Code/cr1901/litex-buildenv
Build directory is: /mnt/d/Code/cr1901/litex-buildenv/build
3rd party directory is: /mnt/d/Code/cr1901/litex-buildenv/third_party
Checking environment
---------------------------------
Platform: tinyfpga_bx
Target: base (default: base)
CPU: lm32.lite (default: lm32)
Firmare: micropython (default: firmware)
python found at 3.6
Checking FPGA toolchain
---------------------------------------
Platform Toolchain: Lattice
yosys found at /mnt/d/Code/cr1901/litex-buildenv/build/conda/bin/yosys
nextpnr-ice40 found at /mnt/d/Code/cr1901/litex-buildenv/build/conda/bin/nextpnr-ice40
Checking programming tools in environment
-----------------------------------------
tinyprog found at /mnt/d/Code/cr1901/litex-buildenv/build/conda/bin/tinyprog
flterm found at /mnt/d/Code/cr1901/litex-buildenv/build/conda/bin/flterm
openocd found at 0.10.0
Checking C compiler toolchain
---------------------------------------
lm32-elf-ld found at 2.28
lm32-elf-gcc found at 5.4.0
Checking Python modules in environment
---------------------------------------
serial found
IPython found
progressbar found
colorama found
hexfile found at 0.1.1
hdmi2usb.modeswitch found at v0.0.1.post31
Checking git submodules
-----------------------
+f56f329ed23a25d002352dedba1e8f092a47286f third_party/edid-decode (f56f329)
a628956da7dc794e6e3c95b31ff9ce3af58bc763 third_party/flash_proxies (heads/master)
ea1ac4d6d72ecb9a65fb884857db8ba6851f3230 third_party/litedram (ea1ac4d)
3d868449e9c38a00524cff8ed2bf5dec2fc0d858 third_party/liteeth (3d86844)
3e8de2d1ef347a1fdfbd01601b1bbdc4558dd90a third_party/litepcie (3e8de2d)
fb72044dabd121b4643a936b21ca3bf3aed75499 third_party/litesata (fb72044)
686db4f3cd71bade8dd777d112e66797662f5bad third_party/litescope (686db4f)
0a9110f901182a1233cc4e64b6e39175f6784621 third_party/liteusb (heads/master)
13d85a1fe360678bebd57c55f1b35988c655ae95 third_party/litevideo (heads/master)
9097573e71863d5538fb1662216d52f4fbdb7675 third_party/litex (heads/master)
4ce399deedc42a44f2854b29f8d34ebbd5d45872 third_party/litex/litex/build/sim/core/modules/ethernet/tapcfg (heads/master)
84b3e3ca0ad9535acaef201c1482342871358b08 third_party/litex/litex/soc/cores/cpu/lm32/verilog/submodule (heads/master)
69b97fcb43b35d6c6639ecc68e63d912c09ee8da third_party/litex/litex/soc/cores/cpu/mor1kx/verilog (v1.0-133-g69b97fc)
a9e0ea54cffa162cfe901ff8d30d8877a18c6d8e third_party/litex/litex/soc/cores/cpu/picorv32/verilog (a9e0ea5)
e8a30b95b9aa1445b5a4a76579a98a0552e2db53 third_party/litex/litex/soc/cores/cpu/vexriscv/verilog (e8a30b9)
81fb4f00c2cfe13814765968e09931ffa93b5138 third_party/litex/litex/soc/software/compiler_rt (81fb4f0)
ca0df1c148950213ff0551a8ec7c188a5910906e third_party/migen (0.6.dev-168-gca0df1c)
Traceback (most recent call last):
File "<string>", line 1, in <module>
ModuleNotFoundError: No module named 'migen'
migen *NOT* found!
Please try running the /mnt/d/Code/cr1901/litex-buildenv/scripts/download-env.sh script again.
xobs@Cuboid:/mnt/d/Code/cr1901/litex-buildenv$ ls third_party/migen/
conda doc examples LICENSE migen README.md setup.py tools
xobs@Cuboid:/mnt/d/Code/cr1901/litex-buildenv$
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment