Skip to content

Instantly share code, notes, and snippets.

Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save DigitalBrains1/8e612660965bc5a88555f9cf2287eb22 to your computer and use it in GitHub Desktop.
Save DigitalBrains1/8e612660965bc5a88555f9cf2287eb22 to your computer and use it in GitHub Desktop.
Build profile: -w ghc-8.8.4 -O1
In order, the following will be built (use -v for more details):
- clash-prelude-1.3.0 (file src/Clash/Annotations/TH.hs changed)
Preprocessing library for clash-prelude-1.3.0..
Running Haddock on library for clash-prelude-1.3.0..
Warning: The documentation for the following packages are not installed. No
links will be generated to these packages: QuickCheck-2.14.2, StateVar-1.2.1,
Stream-0.4.7.2, adjunctions-4.4, arrows-0.4.4.2, base-compat-0.11.2,
base-compat-batteries-0.11.2, base-orphans-0.8.4, bifunctors-5.5.10,
bytestring-builder-0.10.8.2.0, call-stack-0.3.0, comonad-5.0.8,
constraints-0.12, contravariant-1.5.3, data-binary-ieee754-0.4.4,
data-default-class-0.1.2.0, data-fix-0.3.0, distributive-0.6.2.1,
exceptions-0.10.4, fail-4.9.0.0, first-class-families-0.8.0.0, free-5.1.6,
generic-deriving-1.14, ghc-tcplugins-extra-0.4.1, ghc-typelits-extra-0.4.2,
ghc-typelits-knownnat-0.7.4, ghc-typelits-natnormalise-0.7.3, half-0.3.1,
hashable-1.3.0.0, haskell-src-exts-1.23.1, haskell-src-meta-0.8.5,
indexed-traversable-0.1.1, interpolate-0.2.1, invariant-0.5.4,
kan-extensions-5.2.1, lazysmallcheck-0.6, lens-4.19.2,
ordered-containers-0.2.2, parallel-3.2.2.0, primitive-0.7.1.0,
profunctors-5.6.1, random-1.2.0, recursion-schemes-5.2.1, reflection-2.1.6,
safe-0.3.19, semigroupoids-5.3.5, semigroups-0.19.1, singletons-2.6,
splitmix-0.1.0.3, syb-0.7.2.1, tagged-0.8.6.1, text-show-3.9,
th-abstraction-0.4.2.0, th-compat-0.1, th-desugar-1.10,
th-expand-syns-0.4.6.0, th-lift-0.8.2, th-lift-instances-0.1.18,
th-orphans-0.13.11, th-reify-many-0.1.9, transformers-base-0.4.5.2,
transformers-compat-0.6.6, type-equality-1, type-errors-0.2.0.0,
uniplate-1.6.13, unordered-containers-0.2.13.0, vector-0.12.2.0, void-0.7.3
Haddock coverage:
73% ( 8 / 11) in 'Clash.Annotations.BitRepresentation'
Missing documentation for:
BitMask (src/Clash/Annotations/BitRepresentation.hs:39)
Value (src/Clash/Annotations/BitRepresentation.hs:40)
Size (src/Clash/Annotations/BitRepresentation.hs:41)
83% ( 10 / 12) in 'Clash.Annotations.BitRepresentation.Internal'
Missing documentation for:
dataReprAnnToDataRepr' (src/Clash/Annotations/BitRepresentation/Internal.hs:92)
constrReprToConstrRepr' (src/Clash/Annotations/BitRepresentation/Internal.hs:88)
71% ( 5 / 7) in 'Clash.Annotations.BitRepresentation.Util'
Missing documentation for:
isContinuousMask (src/Clash/Annotations/BitRepresentation/Util.hs:151)
Bit (src/Clash/Annotations/BitRepresentation/Util.hs:25)
100% ( 11 / 11) in 'Clash.Annotations.Primitive'
67% ( 2 / 3) in 'Clash.Annotations.SynthesisAttributes'
Missing documentation for:
Annotate (src/Clash/Annotations/SynthesisAttributes.hs:24)
100% ( 6 / 6) in 'Clash.Annotations.TopEntity'
43% ( 3 / 7) in 'Clash.Class.HasDomain.Common'
Missing documentation for:
:<<>>: (src/Clash/Class/HasDomain/Common.hs:30)
:$$$: (src/Clash/Class/HasDomain/Common.hs:33)
:++: (src/Clash/Class/HasDomain/Common.hs:44)
ToEM (src/Clash/Class/HasDomain/Common.hs:24)
100% ( 9 / 9) in 'Clash.Class.Num'
100% ( 6 / 6) in 'Clash.Class.Resize'
97% (105 /108) in 'Clash.HaskellPrelude'
Missing documentation for:
Real
Bool
Ordering
100% ( 5 / 5) in 'Clash.Hidden'
100% ( 2 / 2) in 'Clash.NamedTypes'
100% ( 4 / 4) in 'Clash.Promoted.Symbol'
100% ( 12 / 12) in 'Clash.Magic'
73% ( 8 / 11) in 'Clash.Sized.Internal.Mod'
Missing documentation for:
bigNatToNat (src/Clash/Sized/Internal/Mod.hs:347)
subIfGe (src/Clash/Sized/Internal/Mod.hs:354)
brokenInvariant (src/Clash/Sized/Internal/Mod.hs:372)
42% ( 8 / 19) in 'Clash.XException.Internal'
Missing documentation for:
showXWith (src/Clash/XException/Internal.hs:83)
GShowX (src/Clash/XException/Internal.hs:106)
GDeepErrorX (src/Clash/XException/Internal.hs:331)
GHasUndefined (src/Clash/XException/Internal.hs:291)
GEnsureSpine (src/Clash/XException/Internal.hs:249)
Zero (src/Clash/XException/Internal.hs:199)
One (src/Clash/XException/Internal.hs:200)
ShowType (src/Clash/XException/Internal.hs:111)
RnfArgs (src/Clash/XException/Internal.hs:202)
showListX__ (src/Clash/XException/Internal.hs:71)
genericShowsPrecX (src/Clash/XException/Internal.hs:80)
75% ( 3 / 4) in 'Clash.XException.TH'
Missing documentation for:
mkNFDataXTupleInstances (src/Clash/XException/TH.hs:155)
100% ( 26 / 26) in 'Clash.XException'
97% ( 71 / 73) in 'Clash.Promoted.Nat'
Missing documentation for:
minSNat (src/Clash/Promoted/Nat.hs:282)
maxSNat (src/Clash/Promoted/Nat.hs:285)
41% ( 41 /100) in 'Clash.Sized.Internal.BitVector'
Missing documentation for:
eq## (src/Clash/Sized/Internal/BitVector.hs:281)
neq## (src/Clash/Sized/Internal/BitVector.hs:285)
lt## (src/Clash/Sized/Internal/BitVector.hs:295)
ge## (src/Clash/Sized/Internal/BitVector.hs:295)
gt## (src/Clash/Sized/Internal/BitVector.hs:295)
le## (src/Clash/Sized/Internal/BitVector.hs:295)
fromInteger## (src/Clash/Sized/Internal/BitVector.hs:325)
and## (src/Clash/Sized/Internal/BitVector.hs:366)
or## (src/Clash/Sized/Internal/BitVector.hs:366)
xor## (src/Clash/Sized/Internal/BitVector.hs:366)
complement## (src/Clash/Sized/Internal/BitVector.hs:379)
pack# (src/Clash/Sized/Internal/BitVector.hs:385)
unpack# (src/Clash/Sized/Internal/BitVector.hs:393)
size# (src/Clash/Sized/Internal/BitVector.hs:798)
maxIndex# (src/Clash/Sized/Internal/BitVector.hs:806)
reduceAnd# (src/Clash/Sized/Internal/BitVector.hs:771)
reduceOr# (src/Clash/Sized/Internal/BitVector.hs:781)
reduceXor# (src/Clash/Sized/Internal/BitVector.hs:788)
index# (src/Clash/Sized/Internal/BitVector.hs:815)
replaceBit# (src/Clash/Sized/Internal/BitVector.hs:894)
setSlice# (src/Clash/Sized/Internal/BitVector.hs:917)
slice# (src/Clash/Sized/Internal/BitVector.hs:865)
split# (src/Clash/Sized/Internal/BitVector.hs:938)
eq# (src/Clash/Sized/Internal/BitVector.hs:494)
neq# (src/Clash/Sized/Internal/BitVector.hs:499)
lt# (src/Clash/Sized/Internal/BitVector.hs:509)
ge# (src/Clash/Sized/Internal/BitVector.hs:509)
gt# (src/Clash/Sized/Internal/BitVector.hs:509)
le# (src/Clash/Sized/Internal/BitVector.hs:509)
enumFrom# (src/Clash/Sized/Internal/BitVector.hs:535)
enumFromThen# (src/Clash/Sized/Internal/BitVector.hs:545)
enumFromTo# (src/Clash/Sized/Internal/BitVector.hs:564)
enumFromThenTo# (src/Clash/Sized/Internal/BitVector.hs:579)
minBound# (src/Clash/Sized/Internal/BitVector.hs:600)
maxBound# (src/Clash/Sized/Internal/BitVector.hs:604)
+# (src/Clash/Sized/Internal/BitVector.hs:617)
-# (src/Clash/Sized/Internal/BitVector.hs:617)
*# (src/Clash/Sized/Internal/BitVector.hs:617)
negate# (src/Clash/Sized/Internal/BitVector.hs:655)
fromInteger# (src/Clash/Sized/Internal/BitVector.hs:668)
plus# (src/Clash/Sized/Internal/BitVector.hs:689)
minus# (src/Clash/Sized/Internal/BitVector.hs:694)
times# (src/Clash/Sized/Internal/BitVector.hs:708)
quot# (src/Clash/Sized/Internal/BitVector.hs:724)
rem# (src/Clash/Sized/Internal/BitVector.hs:724)
toInteger# (src/Clash/Sized/Internal/BitVector.hs:733)
and# (src/Clash/Sized/Internal/BitVector.hs:961)
or# (src/Clash/Sized/Internal/BitVector.hs:961)
xor# (src/Clash/Sized/Internal/BitVector.hs:961)
complement# (src/Clash/Sized/Internal/BitVector.hs:987)
shiftL# (src/Clash/Sized/Internal/BitVector.hs:991)
shiftR# (src/Clash/Sized/Internal/BitVector.hs:991)
rotateL# (src/Clash/Sized/Internal/BitVector.hs:991)
rotateR# (src/Clash/Sized/Internal/BitVector.hs:991)
popCountBV (src/Clash/Sized/Internal/BitVector.hs:1078)
countLeadingZerosBV (src/Clash/Sized/Internal/BitVector.hs:762)
countTrailingZerosBV (src/Clash/Sized/Internal/BitVector.hs:766)
truncateB# (src/Clash/Sized/Internal/BitVector.hs:1096)
undefError (src/Clash/Sized/Internal/BitVector.hs:1208)
89% ( 17 / 19) in 'Clash.Sized.BitVector'
Missing documentation for:
size# (src/Clash/Sized/Internal/BitVector.hs:798)
maxIndex# (src/Clash/Sized/Internal/BitVector.hs:806)
100% ( 2 / 2) in 'Clash.Promoted.Nat.Unsafe'
100% ( 5 / 5) in 'Clash.Promoted.Nat.TH'
9% ( 1 / 11) in 'Clash.Promoted.Nat.Literals'
89% (100 /112) in 'Clash.Signal.Internal'
Missing documentation for:
head# (src/Clash/Signal/Internal.hs:674)
tail# (src/Clash/Signal/Internal.hs:677)
Domain (src/Clash/Signal/Internal.hs:623)
KnownConfiguration (src/Clash/Signal/Internal.hs:388)
InitBehavior (src/Clash/Signal/Internal.hs:259)
SInitBehavior (src/Clash/Signal/Internal.hs:268)
ResetKind (src/Clash/Signal/Internal.hs:216)
delay# (src/Clash/Signal/Internal.hs:1062)
mapSignal# (src/Clash/Signal/Internal.hs:695)
signal# (src/Clash/Signal/Internal.hs:708)
appSignal# (src/Clash/Signal/Internal.hs:713)
traverse# (src/Clash/Signal/Internal.hs:791)
100% ( 3 / 3) in 'Clash.Xilinx.ClockGen'
58% ( 7 / 12) in 'Clash.Verification.Internal'
Missing documentation for:
Assertion (src/Clash/Verification/Internal.hs:96)
IsTemporal (src/Clash/Verification/Internal.hs:49)
toTemporal (src/Clash/Verification/Internal.hs:99)
isTemporal (src/Clash/Verification/Internal.hs:104)
assertion (src/Clash/Verification/Internal.hs:108)
60% ( 3 / 5) in 'Clash.Verification.PrettyPrinters'
Missing documentation for:
pprPslProperty (src/Clash/Verification/PrettyPrinters.hs:115)
pprSvaProperty (src/Clash/Verification/PrettyPrinters.hs:227)
86% ( 6 / 7) in 'Clash.Signal.Internal.Ambiguous'
Missing documentation for:
Module header
100% ( 12 / 12) in 'Clash.Signal.Delayed.Internal'
97% ( 28 / 29) in 'Clash.Explicit.Verification'
Missing documentation for:
Assertion (src/Clash/Verification/Internal.hs:96)
50% ( 1 / 2) in 'Clash.Clocks.Deriving'
Missing documentation for:
deriveClocksInstances (src/Clash/Clocks/Deriving.hs:68)
50% ( 1 / 2) in 'Clash.Clocks'
Missing documentation for:
Clocks (src/Clash/Clocks.hs:23)
100% ( 3 / 3) in 'Clash.Intel.ClockGen'
33% ( 1 / 3) in 'Clash.Class.HasDomain.CodeGen'
Missing documentation for:
mkTryDomainTuples (src/Clash/Class/HasDomain/CodeGen.hs:40)
mkHasDomainTuples (src/Clash/Class/HasDomain/CodeGen.hs:65)
80% ( 8 / 10) in 'Clash.Class.BitPack'
Missing documentation for:
packXWith (src/Clash/Class/BitPack.hs:130)
GBitPack (src/Clash/Class/BitPack.hs:282)
100% ( 5 / 5) in 'Clash.Prelude.BitReduction'
100% ( 9 / 9) in 'Clash.Prelude.BitIndex'
100% ( 2 / 2) in 'Clash.Class.Parity'
30% ( 17 / 56) in 'Clash.Sized.Internal.Unsigned'
Missing documentation for:
size# (src/Clash/Sized/Internal/Unsigned.hs:200)
pack# (src/Clash/Sized/Internal/Unsigned.hs:234)
unpack# (src/Clash/Sized/Internal/Unsigned.hs:238)
eq# (src/Clash/Sized/Internal/Unsigned.hs:247)
neq# (src/Clash/Sized/Internal/Unsigned.hs:251)
lt# (src/Clash/Sized/Internal/Unsigned.hs:260)
ge# (src/Clash/Sized/Internal/Unsigned.hs:260)
gt# (src/Clash/Sized/Internal/Unsigned.hs:260)
le# (src/Clash/Sized/Internal/Unsigned.hs:260)
enumFrom# (src/Clash/Sized/Internal/Unsigned.hs:296)
enumFromThen# (src/Clash/Sized/Internal/Unsigned.hs:305)
enumFromTo# (src/Clash/Sized/Internal/Unsigned.hs:317)
enumFromThenTo# (src/Clash/Sized/Internal/Unsigned.hs:326)
minBound# (src/Clash/Sized/Internal/Unsigned.hs:339)
maxBound# (src/Clash/Sized/Internal/Unsigned.hs:343)
+# (src/Clash/Sized/Internal/Unsigned.hs:356)
-# (src/Clash/Sized/Internal/Unsigned.hs:356)
*# (src/Clash/Sized/Internal/Unsigned.hs:356)
negate# (src/Clash/Sized/Internal/Unsigned.hs:382)
fromInteger# (src/Clash/Sized/Internal/Unsigned.hs:391)
plus# (src/Clash/Sized/Internal/Unsigned.hs:410)
minus# (src/Clash/Sized/Internal/Unsigned.hs:414)
times# (src/Clash/Sized/Internal/Unsigned.hs:427)
quot# (src/Clash/Sized/Internal/Unsigned.hs:442)
rem# (src/Clash/Sized/Internal/Unsigned.hs:442)
toInteger# (src/Clash/Sized/Internal/Unsigned.hs:449)
and# (src/Clash/Sized/Internal/Unsigned.hs:480)
or# (src/Clash/Sized/Internal/Unsigned.hs:484)
xor# (src/Clash/Sized/Internal/Unsigned.hs:488)
complement# (src/Clash/Sized/Internal/Unsigned.hs:492)
shiftL# (src/Clash/Sized/Internal/Unsigned.hs:496)
shiftR# (src/Clash/Sized/Internal/Unsigned.hs:496)
rotateL# (src/Clash/Sized/Internal/Unsigned.hs:496)
rotateR# (src/Clash/Sized/Internal/Unsigned.hs:496)
resize# (src/Clash/Sized/Internal/Unsigned.hs:588)
unsignedToWord (src/Clash/Sized/Internal/Unsigned.hs:668)
unsigned8toWord8 (src/Clash/Sized/Internal/Unsigned.hs:678)
unsigned16toWord16 (src/Clash/Sized/Internal/Unsigned.hs:688)
unsigned32toWord32 (src/Clash/Sized/Internal/Unsigned.hs:698)
100% ( 2 / 2) in 'Clash.Sized.Unsigned'
30% ( 17 / 57) in 'Clash.Sized.Internal.Signed'
Missing documentation for:
size# (src/Clash/Sized/Internal/Signed.hs:195)
pack# (src/Clash/Sized/Internal/Signed.hs:221)
unpack# (src/Clash/Sized/Internal/Signed.hs:226)
eq# (src/Clash/Sized/Internal/Signed.hs:238)
neq# (src/Clash/Sized/Internal/Signed.hs:242)
lt# (src/Clash/Sized/Internal/Signed.hs:251)
ge# (src/Clash/Sized/Internal/Signed.hs:251)
gt# (src/Clash/Sized/Internal/Signed.hs:251)
le# (src/Clash/Sized/Internal/Signed.hs:251)
enumFrom# (src/Clash/Sized/Internal/Signed.hs:288)
enumFromThen# (src/Clash/Sized/Internal/Signed.hs:295)
enumFromTo# (src/Clash/Sized/Internal/Signed.hs:306)
enumFromThenTo# (src/Clash/Sized/Internal/Signed.hs:313)
minBound# (src/Clash/Sized/Internal/Signed.hs:325)
maxBound# (src/Clash/Sized/Internal/Signed.hs:332)
+# (src/Clash/Sized/Internal/Signed.hs:350)
-# (src/Clash/Sized/Internal/Signed.hs:350)
*# (src/Clash/Sized/Internal/Signed.hs:350)
negate# (src/Clash/Sized/Internal/Signed.hs:383)
abs# (src/Clash/Sized/Internal/Signed.hs:383)
fromInteger# (src/Clash/Sized/Internal/Signed.hs:401)
plus# (src/Clash/Sized/Internal/Signed.hs:423)
minus# (src/Clash/Sized/Internal/Signed.hs:423)
times# (src/Clash/Sized/Internal/Signed.hs:431)
quot# (src/Clash/Sized/Internal/Signed.hs:446)
rem# (src/Clash/Sized/Internal/Signed.hs:446)
div# (src/Clash/Sized/Internal/Signed.hs:452)
mod# (src/Clash/Sized/Internal/Signed.hs:452)
toInteger# (src/Clash/Sized/Internal/Signed.hs:459)
and# (src/Clash/Sized/Internal/Signed.hs:489)
or# (src/Clash/Sized/Internal/Signed.hs:489)
xor# (src/Clash/Sized/Internal/Signed.hs:489)
complement# (src/Clash/Sized/Internal/Signed.hs:509)
shiftL# (src/Clash/Sized/Internal/Signed.hs:515)
shiftR# (src/Clash/Sized/Internal/Signed.hs:515)
rotateL# (src/Clash/Sized/Internal/Signed.hs:515)
rotateR# (src/Clash/Sized/Internal/Signed.hs:515)
resize# (src/Clash/Sized/Internal/Signed.hs:589)
truncateB# (src/Clash/Sized/Internal/Signed.hs:607)
minBoundSym# (src/Clash/Sized/Internal/Signed.hs:714)
100% ( 2 / 2) in 'Clash.Sized.Signed'
100% ( 42 / 42) in 'Clash.Sized.Fixed'
40% ( 17 / 42) in 'Clash.Sized.Internal.Index'
Missing documentation for:
size# (src/Clash/Sized/Internal/Index.hs:167)
pack# (src/Clash/Sized/Internal/Index.hs:186)
unpack# (src/Clash/Sized/Internal/Index.hs:190)
eq# (src/Clash/Sized/Internal/Index.hs:199)
neq# (src/Clash/Sized/Internal/Index.hs:203)
lt# (src/Clash/Sized/Internal/Index.hs:212)
ge# (src/Clash/Sized/Internal/Index.hs:212)
gt# (src/Clash/Sized/Internal/Index.hs:212)
le# (src/Clash/Sized/Internal/Index.hs:212)
enumFrom# (src/Clash/Sized/Internal/Index.hs:234)
enumFromThen# (src/Clash/Sized/Internal/Index.hs:238)
enumFromTo# (src/Clash/Sized/Internal/Index.hs:242)
enumFromThenTo# (src/Clash/Sized/Internal/Index.hs:246)
maxBound# (src/Clash/Sized/Internal/Index.hs:254)
+# (src/Clash/Sized/Internal/Index.hs:271)
-# (src/Clash/Sized/Internal/Index.hs:271)
*# (src/Clash/Sized/Internal/Index.hs:271)
fromInteger# (src/Clash/Sized/Internal/Index.hs:281)
plus# (src/Clash/Sized/Internal/Index.hs:299)
minus# (src/Clash/Sized/Internal/Index.hs:299)
times# (src/Clash/Sized/Internal/Index.hs:312)
quot# (src/Clash/Sized/Internal/Index.hs:392)
rem# (src/Clash/Sized/Internal/Index.hs:392)
toInteger# (src/Clash/Sized/Internal/Index.hs:399)
resize# (src/Clash/Sized/Internal/Index.hs:439)
100% ( 4 / 4) in 'Clash.Sized.Index'
95% (132 /139) in 'Clash.Sized.Vector'
Missing documentation for:
zipWith4 (src/Clash/Sized/Vector.hs:929)
zipWith5 (src/Clash/Sized/Vector.hs:945)
zipWith6 (src/Clash/Sized/Vector.hs:962)
zipWith7 (src/Clash/Sized/Vector.hs:980)
traverse# (src/Clash/Sized/Vector.hs:371)
concatBitVector# (src/Clash/Sized/Vector.hs:2377)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2391)
100% ( 30 / 30) in 'Clash.Explicit.SimIO'
100% ( 6 / 6) in 'Clash.Explicit.ROM'
57% ( 8 / 14) in 'Clash.Class.HasDomain.HasSpecificDomain'
Missing documentation for:
Outro (src/Clash/Class/HasDomain/HasSpecificDomain.hs:37)
NotFoundError (src/Clash/Class/HasDomain/HasSpecificDomain.hs:47)
HasDomainWrapperResult (src/Clash/Class/HasDomain/HasSpecificDomain.hs:69)
Merge (src/Clash/Class/HasDomain/HasSpecificDomain.hs:81)
DomEqWorker (src/Clash/Class/HasDomain/HasSpecificDomain.hs:84)
ErrOnNotFound (src/Clash/Class/HasDomain/HasSpecificDomain.hs:110)
100% ( 29 / 29) in 'Clash.Sized.RTree'
38% ( 5 / 13) in 'Clash.Class.HasDomain.HasSingleDomain'
Missing documentation for:
MissingInstance (src/Clash/Class/HasDomain/HasSingleDomain.hs:37)
Outro (src/Clash/Class/HasDomain/HasSingleDomain.hs:47)
NotFoundError (src/Clash/Class/HasDomain/HasSingleDomain.hs:66)
AmbiguousError (src/Clash/Class/HasDomain/HasSingleDomain.hs:74)
StuckErrorMsg (src/Clash/Class/HasDomain/HasSingleDomain.hs:83)
TryDomainResult (src/Clash/Class/HasDomain
src/Clash/Examples/Internal.hs:108:36: warning: [-Wdeferred-out-of-scope-variables]
• Variable not in scope: d15 :: SNat 15
• Perhaps you meant one of these:
‘d1’ (imported from Clash.Prelude),
‘_15’ (imported from Control.Lens),
‘d5’ (imported from Clash.Prelude)
|
108 | lfsrF' s = pack feedback ++# slice d15 d1 s
| ^^^
/HasSingleDomain.hs:106)
ErrOnConflict (src/Clash/Class/HasDomain/HasSingleDomain.hs:133)
TryDomain (src/Clash/Class/HasDomain/HasSingleDomain.hs:138)
57% ( 4 / 7) in 'Clash.Class.HasDomain'
Missing documentation for:
Module header
TryDomain (src/Clash/Class/HasDomain/HasSingleDomain.hs:138)
TryDomainResult (src/Clash/Class/HasDomain/HasSingleDomain.hs:106)
100% ( 10 / 10) in 'Clash.Signal.BiSignal'
86% ( 6 / 7) in 'Clash.Signal.Bundle'
Missing documentation for:
vecBundle# (src/Clash/Signal/Bundle.hs:159)
96% (118 /123) in 'Clash.Explicit.Signal'
Missing documentation for:
Domain (src/Clash/Signal/Internal.hs:623)
KnownConfiguration (src/Clash/Signal/Internal.hs:388)
InitBehavior (src/Clash/Signal/Internal.hs:259)
SInitBehavior (src/Clash/Signal/Internal.hs:268)
ResetKind (src/Clash/Signal/Internal.hs:216)
100% ( 19 / 19) in 'Clash.Explicit.Signal.Delayed'
100% ( 6 / 6) in 'Clash.Explicit.RAM'
100% ( 6 / 6) in 'Clash.Explicit.Moore'
100% ( 4 / 4) in 'Clash.Explicit.Mealy'
100% ( 7 / 7) in 'Clash.Explicit.BlockRam.File'
100% ( 6 / 6) in 'Clash.Explicit.ROM.File'
100% ( 16 / 16) in 'Clash.Explicit.Reset'
94% (145 /154) in 'Clash.Signal'
Missing documentation for:
Domain (src/Clash/Signal/Internal.hs:623)
KnownConfiguration (src/Clash/Signal/Internal.hs:388)
InitBehavior (src/Clash/Signal/Internal.hs:259)
SInitBehavior (src/Clash/Signal/Internal.hs:268)
ResetKind (src/Clash/Signal/Internal.hs:216)
hideClockResetEnable (src/Clash/Signal.hs:1186)
HiddenClockName (src/Clash/Signal.hs:440)
HiddenResetName (src/Clash/Signal.hs:441)
HiddenEnableName (src/Clash/Signal.hs:442)
89% ( 25 / 28) in 'Clash.Verification'
Missing documentation for:
Assertion (src/Clash/Verification/Internal.hs:96)
check (src/Clash/Verification.hs:54)
checkI (src/Clash/Verification.hs:67)
0% ( 0 / 7) in 'Clash.Verification.DSL'
Missing documentation for:
Module header
|&| (src/Clash/Verification/DSL.hs:15)
||| (src/Clash/Verification/DSL.hs:20)
~> (src/Clash/Verification/DSL.hs:24)
|=> (src/Clash/Verification/DSL.hs:29)
|-> (src/Clash/Verification/DSL.hs:34)
#|# (src/Clash/Verification/DSL.hs:39)
100% ( 19 / 19) in 'Clash.Signal.Delayed'
100% ( 5 / 5) in 'Clash.Signal.Delayed.Bundle'
100% ( 9 / 9) in 'Clash.Prelude.ROM.File'
100% ( 9 / 9) in 'Clash.Prelude.ROM'
100% ( 4 / 4) in 'Clash.Prelude.RAM'
100% ( 6 / 6) in 'Clash.Prelude.Moore'
100% ( 5 / 5) in 'Clash.Prelude.Mealy'
100% ( 21 / 21) in 'Clash.Prelude.DataFlow'
100% ( 4 / 4) in 'Clash.Prelude.BlockRam.File'
100% ( 14 / 14) in 'Clash.Explicit.Testbench'
100% ( 11 / 11) in 'Clash.Prelude.Testbench'
100% ( 5 / 5) in 'Clash.Explicit.Synchronizer'
100% ( 9 / 9) in 'Clash.Annotations.TH'
91% ( 10 / 11) in 'Clash.Explicit.BlockRam'
Missing documentation for:
ResetStrategy (src/Clash/Explicit/BlockRam.hs:787)
89% ( 8 / 9) in 'Clash.Prelude.BlockRam'
Missing documentation for:
ResetStrategy (src/Clash/Explicit/BlockRam.hs:787)
Warning: Clash.Explicit.Prelude.Safe: Could not find documentation for exported module: GHC.TypeLits.Extra
Cannot find documentation for: $hiding
96% (175 /182) in 'Clash.Explicit.Prelude.Safe'
Missing documentation for:
traverse# (src/Clash/Sized/Vector.hs:371)
zipWith4 (src/Clash/Sized/Vector.hs:929)
zipWith5 (src/Clash/Sized/Vector.hs:945)
zipWith6 (src/Clash/Sized/Vector.hs:962)
zipWith7 (src/Clash/Sized/Vector.hs:980)
concatBitVector# (src/Clash/Sized/Vector.hs:2377)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2391)
Warning: Clash.Prelude.Safe: Could not find documentation for exported module: GHC.TypeLits.Extra
96% (176 /183) in 'Clash.Prelude.Safe'
Missing documentation for:
traverse# (src/Clash/Sized/Vector.hs:371)
zipWith4 (src/Clash/Sized/Vector.hs:929)
zipWith5 (src/Clash/Sized/Vector.hs:945)
zipWith6 (src/Clash/Sized/Vector.hs:962)
zipWith7 (src/Clash/Sized/Vector.hs:980)
concatBitVector# (src/Clash/Sized/Vector.hs:2377)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2391)
100% ( 3 / 3) in 'Clash.Class.AutoReg'
75% ( 3 / 4) in 'Clash.Class.Exp'
Missing documentation for:
ExpResult (src/Clash/Class/Exp.hs:30)
86% ( 19 / 22) in 'Clash.Annotations.BitRepresentation.Deriving'
Missing documentation for:
deriveAnnotation (src/Clash/Annotations/BitRepresentation/Deriving.hs:264)
derivePackedAnnotation (src/Clash/Annotations/BitRepresentation/Deriving.hs:712)
packedMaybeDerivator (src/Clash/Annotations/BitRepresentation/Deriving.hs:570)
82% ( 23 / 28) in 'Clash.Signal.Trace'
Missing documentation for:
Period (src/Clash/Signal/Trace.hs:128)
Changed (src/Clash/Signal/Trace.hs:129)
Value (src/Clash/Signal/Trace.hs:130)
Width (src/Clash/Signal/Trace.hs:131)
TraceMap (src/Clash/Signal/Trace.hs:136)
Warning: Clash.Explicit.Prelude: Could not find documentation for exported module: GHC.TypeLits.Extra
Warning: Clash.Explicit.Prelude: BitSize is exported separately but will be documented under BitPack. Consider exporting it together with its parent(s) for code clarity.
Warning: Clash.Explicit.Prelude: Could not find documentation for exported module: Data.Default.Class
Cannot find documentation for: $hiding
96% (212 /221) in 'Clash.Explicit.Prelude'
Missing documentation for:
ResetStrategy (src/Clash/Explicit/BlockRam.hs:787)
traverse# (src/Clash/Sized/Vector.hs:371)
zipWith4 (src/Clash/Sized/Vector.hs:929)
zipWith5 (src/Clash/Sized/Vector.hs:945)
zipWith6 (src/Clash/Sized/Vector.hs:962)
zipWith7 (src/Clash/Sized/Vector.hs:980)
concatBitVector# (src/Clash/Sized/Vector.hs:2377)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2391)
packXWith (src/Clash/Class/BitPack.hs:130)
Warning: Clash.Prelude: KnownConf is exported separately but will be documented under KnownDomain. Consider exporting it together with its parent(s) for code clarity.
Warning: Clash.Prelude: Unbundled is exported separately but will be documented under Bundle. Consider exporting it together with its parent(s) for code clarity.
Warning: Clash.Prelude: Could not find documentation for exported module: GHC.TypeLits.Extra
Warning: Clash.Prelude: BitSize is exported separately but will be documented under BitPack. Consider exporting it together with its parent(s) for code clarity.
Warning: Clash.Prelude: Could not find documentation for exported module: Data.Default.Class
96% (324 /339) in 'Clash.Prelude'
Missing documentation for:
ResetStrategy (src/Clash/Explicit/BlockRam.hs:787)
Domain (src/Clash/Signal/Internal.hs:623)
KnownConfiguration (src/Clash/Signal/Internal.hs:388)
SInitBehavior (src/Clash/Signal/Internal.hs:268)
InitBehavior (src/Clash/Signal/Internal.hs:259)
ResetKind (src/Clash/Signal/Internal.hs:216)
hideClockResetEnable (src/Clash/Signal.hs:1186)
traverse# (src/Clash/Sized/Vector.hs:371)
zipWith4 (src/Clash/Sized/Vector.hs:929)
zipWith5 (src/Clash/Sized/Vector.hs:945)
zipWith6 (src/Clash/Sized/Vector.hs:962)
zipWith7 (src/Clash/Sized/Vector.hs:980)
concatBitVector# (src/Clash/Sized/Vector.hs:2377)
unconcatBitVector# (src/Clash/Sized/Vector.hs:2391)
packXWith (src/Clash/Class/BitPack.hs:130)
Warning: 'unsafeSynchronizer' is out of scope.
If you qualify the identifier, haddock can try to link it anyway.
100% ( 43 / 43) in 'Clash.Tutorial'
100% ( 9 / 9) in 'Clash.Examples'
67% ( 4 / 6) in 'Clash.Explicit.DDR'
Missing documentation for:
ddrIn# (src/Clash/Explicit/DDR.hs:81)
ddrOut# (src/Clash/Explicit/DDR.hs:163)
100% ( 3 / 3) in 'Clash.Xilinx.DDR'
100% ( 3 / 3) in 'Clash.Intel.DDR'
Warning: Clash.Annotations.BitRepresentation.Internal: could not find link destinations for:
Hashable hashWithSalt hash TextShow showbPrec showb showbList showtPrec showt showtList showtlPrec showtl showtlList
Warning: Clash.Annotations.Primitive: could not find link destinations for:
Hashable hashWithSalt hash
Warning: Clash.HaskellPrelude: could not find link destinations for:
GenTextMethods :=> Dict ins :- Class cls Tagged ConstructorInfo DatatypeInfo ByteArray ConstructorVariant DatatypeVariant FieldStrictness Strictness Unpackedness Specificity ConType Options Half DefName StdGen SrcSpanInfo SrcLoc SrcSpan Boxed Tool DType DLetDec DTyVarBndr DCon DClause DExp DTypeFamilyHead DConFields DDec DDerivClause DDerivStrategy DFamilyResultSig DForeign DInfo DMatch DPat DPatSynDir DPragma DRuleBndr DTySynEqn NewOrData DTypeArg Vector Vector Vector Prim Array MutableByteArray PrimArray SmallArray Hashed FromStringShow FromTextShow FromGeneric StateGen HashSet ListOf ModuleHeadAndImports NonGreedy PragmasAndModuleHead PragmasAndModuleName ModulePragma ModuleHead ImportDecl ModuleName Decl Exp Module Pat Stmt Type Loc Activation Alt Annotation Assoc Asst BangType Binds BooleanFormula Bracket CName CallConv ClassDecl ConDecl Context DataOrNew DeclHead DerivStrategy Deriving EWildcard ExportSpec ExportSpecList FieldDecl FieldUpdate FunDep GadtDecl GuardedRhs IPBind IPName ImportSpec ImportSpecList InjectivityInfo InstDecl InstHead InstRule Literal Match MaybePromotedName Name Namespace Op Overlap PXAttr PatField PatternSynDirection Promoted QName QOp QualConDecl QualStmt RPat RPatOp ResultSig Rhs Role Rule RuleVar Safety Sign SpecialCon Splice TyVarBind TypeEqn Unpackedness WarningText XAttr XName Fix Mu Nu MutableArray MutablePrimArray SmallMutableArray Level Cofree Free Yoneda HashMap Leaf ListF NonEmptyF TreeF FromStringShow1 FromTextShow1 FromGeneric1 Fix Join CofreeF CofreeT FreeT FreeF FromStringShow2 FromTextShow2 Clown Flip Joker WrappedBifunctor Product Sum Tannen Biff P Box Id Gen Rose ReifiedFold ReifiedGetter Co Representable F Indexed Prep Rep Representable Cokleisli Indexing Indexing64 First1 Bundle Context ReifiedIndexedFold ReifiedIndexedGetter Day Coprep Profunctor Automaton EnvT Mag Flows Mafic Holes CopastroSum CotambaraSum PastroSum TambaraSum Bazaar Bazaar1 Magma Exchange Pretext Molten ReflectedApplicative Reifies ReifiedApplicative BazaarT BazaarT1 PretextT TakingWhile Procompose Rift QCGen Hashable TextShow SFirst ShowSing SLast TextShow1 SArg TextShow2 Biapplicative PromDPatInfos ULetDecEnv MergeSet Leftmost Rightmost Max Min NonEmptyDList Sequenced Traversed Folding TraversedF Apply Deepening ReflectedMonoid ReifiedMonoid SingKind fromSing Sing DemoteRep Unbox hashWithSalt hash Arbitrary arbitrary shrink CoArbitrary coarbitrary Uniform uniformM StatefulGen UniformRange uniformRM Testable property Property propertyForAllShrinkShow PBounded MinBound MaxBound PEnum Succ Pred ToEnum FromEnum EnumFromTo EnumFromThenTo SBounded sMinBound Sing MinBoundSym0 sMaxBound MaxBoundSym0 SEnum sSucc Apply SuccSym0 sPred PredSym0 sToEnum ToEnumSym0 sFromEnum FromEnumSym0 sEnumFromTo EnumFromToSym0 sEnumFromThenTo EnumFromThenToSym0 PEq == /= SEq %== %/= POrd Compare < <= > >= Max Min SOrd sCompare CompareSym0 %< <@#@$ %<= <=@#@$ %> >@#@$ %>= >=@#@$ sMax MaxSym0 sMin MinSym0 PShow ShowsPrec Show_ ShowList SShow sShowsPrec ShowsPrecSym0 sShow_ Show_Sym0 sShowList ShowListSym0 numElements unsafeArray unsafeAt unsafeReplace unsafeAccum unsafeAccumArray SingI sing SBool Vector Vector basicUnsafeFreeze PrimMonad Mutable PrimState basicUnsafeThaw basicLength basicUnsafeSlice basicUnsafeIndexM basicUnsafeCopy elemseq MVector MVector basicLength basicUnsafeSlice basicOverlaps basicUnsafeNew basicInitialize basicUnsafeReplicate basicUnsafeRead basicUnsafeWrite basicClear basicSet basicUnsafeCopy basicUnsafeMove basicUnsafeGrow getNumElements unsafeNewArray_ unsafeRead unsafeWrite SingI NotSym0 sing &&@#@$ ||@#@$ <=?@#@$ AllSym0 AnySym0 ShowParenSym0 AndSym0 OrSym0 SuppressUnusedWarnings suppressUnusedWarnings FromEnum_6989586621680153597Sym0 All_Sym0 Any_Sym0 Compare_6989586621679804724Sym0 ToEnum_6989586621680153584Sym0 ShowsPrec_6989586621680596870Sym0 GetAllSym0 GetAnySym0 &&@#@$$ TyFun ||@#@$$ <=?@#@$$ GuardSym0 SAlternative WhenSym0 ~> SApplicative UnlessSym0 ListnullSym0 ListisPrefixOfSym0 NullSym0 IsSuffixOfSym0 IsPrefixOfSym0 IsInfixOfSym0 IsNothingSym0 IsJustSym0 ListelemSym0 NotElemSym0 ElemSym0 OrSym0 SFoldable AndSym0 ==@#@$ /=@#@$ Bool_Sym0 ListtakeWhileSym0 ListspanSym0 ListpartitionSym0 ListnubBySym0 ListfilterSym0 ListdropWhileSym0 UnionBySym0 TakeWhileSym0 SpanSym0 SelectSym0 PartitionSym0 NubBySym0 IntersectBySym0 GroupBySym0 FindSym0 FindIndicesSym0 FindIndexSym0 FilterSym0 Elem_bySym0 DropWhileSym0 DropWhileEndSym0 DeleteFirstsBySym0 DeleteBySym0 BreakSym0 AnySym0 AllSym0 UntilSym0 Compare_6989586621679804724Sym1 ShowsPrec_6989586621680596870Sym1 Let6989586621680743877Scrutinee_6989586621680743639Sym0 Let6989586621680743868Scrutinee_6989586621680743641Sym0 Let6989586621680735329Scrutinee_6989586621680735267Sym0 Let6989586621680735302Scrutinee_6989586621680735265Sym0 DefaultEqSym0 TFHelper_6989586621679793582Sym0 TFHelper_6989586621679793564Sym0 TFHelper_6989586621679793546Sym0 TFHelper_6989586621679793528Sym0 Let6989586621679793626Scrutinee_6989586621679793417Sym0 Let6989586621679793608Scrutinee_6989586621679793415Sym0 Let6989586621679793517Scrutinee_6989586621679793405Sym0 Let6989586621679793512Scrutinee_6989586621679793403Sym0 Elem_6989586621680922228Sym0 Null_6989586621680922355Sym0 Let6989586621680321734ZsSym0 Let6989586621680321734YsSym0 Let6989586621680321734X_6989586621680321735Sym0 Let6989586621680321691ZsSym0 Let6989586621680321691YsSym0 Let6989586621680321691X_6989586621680321692Sym0 ListisPrefixOfSym1 ListelemSym1 NotElemSym1 IsSuffixOfSym1 IsPrefixOfSym1 IsInfixOfSym1 ElemSym1 AnySym1 AllSym1 IsRightSym0 IsLeftSym0 Elem_bySym1 NotElemSym0 ElemSym0 ==@#@$$ /=@#@$$ Bool_Sym1 >@#@$$ >=@#@$$ <@#@$$ <=@#@$$ FindSym0 AnySym0 AllSym0 MfilterSym0 SMonadPlus FilterMSym0 Let6989586621680321504Scrutinee_6989586621680318028Sym0 Let6989586621680735329Scrutinee_6989586621680735267Sym1 Let6989586621680735302Scrutinee_6989586621680735265Sym1 Elem_6989586621680745099Sym0 Elem_6989586621680744932Sym0 Elem_6989586621680744765Sym0 Elem_6989586621680744424Sym0 Elem_6989586621680744304Sym0 DefaultEqSym1 TFHelper_6989586621679793582Sym1 TFHelper_6989586621679793564Sym1 TFHelper_6989586621679793546Sym1 TFHelper_6989586621679793528Sym1 Let6989586621679793626Scrutinee_6989586621679793417Sym1 Let6989586621679793608Scrutinee_6989586621679793415Sym1 Let6989586621679793517Scrutinee_6989586621679793405Sym1 Let6989586621679793512Scrutinee_6989586621679793403Sym1 TFHelper_6989586621681109289Sym0 Elem_6989586621680922228Sym1 Let6989586621680321587ZsSym0 Let6989586621680321587YsSym0 Let6989586621680321587X_6989586621680321588Sym0 Let6989586621680321439NubBy'Sym0 Lambda_6989586621680321767Sym0 Let6989586621680743858Scrutinee_6989586621680743643Sym0 Let6989586621680743845Scrutinee_6989586621680743645Sym0 Let6989586621680743760Scrutinee_6989586621680743651Sym0 Lambda_6989586621680743761Sym0 Let6989586621679942740GoSym0 Bool_Sym2 Elem_bySym2 NullSym0 NotElemSym1 ElemSym1 AnySym1 AllSym1 Let6989586621680321771Scrutinee_6989586621680318006Sym0 Let6989586621680321666Scrutinee_6989586621680318012Sym0 Let6989586621680321652Scrutinee_6989586621680318014Sym0 Let6989586621680321572Scrutinee_6989586621680318024Sym0 Let6989586621680321504Scrutinee_6989586621680318028Sym1 Let6989586621680321485Scrutinee_6989586621680318030Sym0 Let6989586621680321470Scrutinee_6989586621680318032Sym0 Let6989586621680321449Scrutinee_6989586621680318034Sym0 Null_6989586621680745226Sym0 Null_6989586621680745059Sym0 Null_6989586621680744892Sym0 Null_6989586621680744743Sym0 Null_6989586621680744567Sym0 Null_6989586621680744260Sym0 Lambda_6989586621680744267Sym0 Elem_6989586621680745099Sym1 Elem_6989586621680744932Sym1 Elem_6989586621680744765Sym1 Elem_6989586621680744424Sym1 Elem_6989586621680744304Sym1 Lambda_6989586621681403170Sym0 TFHelper_6989586621681109289Sym1 Lambda_6989586621681403167Sym0 Lambda_6989586621681402999Sym0 Let6989586621680321771Scrutinee_6989586621680318006Sym1 Let6989586621680321666Scrutinee_6989586621680318012Sym1 Let6989586621680321652Scrutinee_6989586621680318014Sym1 Let6989586621680321572Scrutinee_6989586621680318024Sym1 Let6989586621680321485Scrutinee_6989586621680318030Sym1 Let6989586621680321470Scrutinee_6989586621680318032Sym1 Let6989586621680321449Scrutinee_6989586621680318034Sym1 Let6989586621680130203Scrutinee_6989586621680129969Sym0 Lambda_6989586621680744267Sym1 Lambda_6989586621681403170Sym1 Let6989586621680321470Scrutinee_6989586621680318032Sym2 Let6989586621680321449Scrutinee_6989586621680318034Sym2 Let6989586621680321666Scrutinee_6989586621680318012Sym2 Let6989586621680321652Scrutinee_6989586621680318014Sym2 Let6989586621680321572Scrutinee_6989586621680318024Sym2 Let6989586621680321485Scrutinee_6989586621680318030Sym2 Let6989586621680130203Scrutinee_6989586621680129969Sym1 Let6989586621680130126Scrutinee_6989586621680129983Sym0 Let6989586621680130069Scrutinee_6989586621680129993Sym0 Lambda_6989586621680744267Sym2 Lambda_6989586621681403170Sym2 Let6989586621680321771Scrutinee_6989586621680318006Sym2 Lambda_6989586621680321839Sym0 Lambda_6989586621681403170Sym3 Let6989586621680321771Scrutinee_6989586621680318006Sym3 Let6989586621680321572Scrutinee_6989586621680318024Sym3 Let6989586621680321470Scrutinee_6989586621680318032Sym3 Let6989586621680130203Scrutinee_6989586621680129969Sym2 Let6989586621680130126Scrutinee_6989586621680129983Sym1 Let6989586621680130069Scrutinee_6989586621680129993Sym1 Let6989586621680321449Scrutinee_6989586621680318034Sym3 Let6989586621680321449Scrutinee_6989586621680318034Sym4 Let6989586621680130203Scrutinee_6989586621680129969Sym3 Let6989586621680130126Scrutinee_6989586621680129983Sym2 Let6989586621680130069Scrutinee_6989586621680129993Sym2 Let6989586621680130203Scrutinee_6989586621680129969Sym4 Let6989586621680130126Scrutinee_6989586621680129983Sym3 Let6989586621680130069Scrutinee_6989586621680129993Sym3 Let6989586621680130126Scrutinee_6989586621680129983Sym4 Let6989586621680130069Scrutinee_6989586621680129993Sym4 Let6989586621680130126Scrutinee_6989586621680129983Sym5 Let6989586621680130069Scrutinee_6989586621680129993Sym5 D:R:SingBoola0 STrue SFalse D:R:VectorBool0 V_Bool Demote MaxBound_6989586621680126225Sym0 MinBound_6989586621680126223Sym0 D:R:MVectorsBool0 MV_Bool MVector Show__6989586621680578857Sym0 Pred_6989586621680130250Sym0 Succ_6989586621680130235Sym0 EnumFromTo_6989586621680130260Sym0 Equals_6989586621679777470 Max_6989586621679793600Sym0 Min_6989586621679793618Sym0 ShowList_6989586621680578865Sym0 FromEnum_6989586621680153597 All_ Any_ ToEnum_6989586621680153584 GetAll GetAny EnumFromThenTo_6989586621680130273Sym0 Compare_6989586621679804724 Let6989586621680735302Scrutinee_6989586621680735265 Let6989586621680735329Scrutinee_6989586621680735267 DefaultEq Let6989586621679793512Scrutinee_6989586621679793403 TFHelper_6989586621679793582 TFHelper_6989586621679793564 TFHelper_6989586621679793546 TFHelper_6989586621679793528 Let6989586621679793626Scrutinee_6989586621679793417 Let6989586621679793608Scrutinee_6989586621679793415 Let6989586621679793517Scrutinee_6989586621679793405 Bool_ Let6989586621680321504Scrutinee_6989586621680318028 Let6989586621680321485Scrutinee_6989586621680318030 Let6989586621680321652Scrutinee_6989586621680318014 Let6989586621680321666Scrutinee_6989586621680318012 Lambda_6989586621680744267 Let6989586621680321572Scrutinee_6989586621680318024 Let6989586621680321470Scrutinee_6989586621680318032 Let6989586621680321771Scrutinee_6989586621680318006 Let6989586621680321449Scrutinee_6989586621680318034 Let6989586621680130203Scrutinee_6989586621680129969 Let6989586621680130069Scrutinee_6989586621680129993 Let6989586621680130126Scrutinee_6989586621680129983 Eval Not Guard And Foldr && Or || IsJust IsNothing Null > =<< <= < >= ShowParenSym1 ShowsPrec_6989586621680596870Sym2 WhenSym1 UnlessSym1 Lambda_6989586621681403170 IsLeft IsRight Elem FindIndex TyEq IsInfixOf Any IsPrefixOf Tails IsPrefixOf_ IsSuffixOf @@ Reverse All Bicomap Pure TyEqImpl And Or Listnull Null IsNothing IsJust And Let6989586621680743877Scrutinee_6989586621680743639 Or Let6989586621680743868Scrutinee_6989586621680743641 Null_6989586621680922355 Listelem ListisPrefixOf NotElem Elem IsPrefixOf Any IsInfixOf All IsSuffixOf Elem_6989586621680922228 Elem_by Elem_6989586621680744304 Null_6989586621680744260 Any Elem NotElem Null All Elem_6989586621680744424 Null_6989586621680744567 Null_6989586621680744743 Elem_6989586621680744765 Null_6989586621680744892 Elem_6989586621680744932 Null_6989586621680745059 Elem_6989586621680745099 Null_6989586621680745226 IsRight IsLeft TFHelper_6989586621681109289 ListnubBySym1 ListpartitionSym1 ListfilterSym1 ListspanSym1 ListdropWhileSym1 ListtakeWhileSym1 NubBySym1 SelectSym1 PartitionSym1 BreakSym1 Let6989586621680321691YsSym1 Let6989586621680321691ZsSym1 Let6989586621680321691X_6989586621680321692Sym1 SpanSym1 Let6989586621680321734YsSym1 Let6989586621680321734ZsSym1 Let6989586621680321734X_6989586621680321735Sym1 GroupBySym1 DropWhileSym1 TakeWhileSym1 FilterSym1 FindSym1 DeleteBySym1 DeleteFirstsBySym1 UnionBySym1 FindIndicesSym1 FindIndexSym1 IntersectBySym1 DropWhileEndSym1 UntilSym1 Let6989586621680321439NubBy'Sym1 Let6989586621680321587YsSym1 Let6989586621680321587ZsSym1 Let6989586621680321587X_6989586621680321588Sym1 Lambda_6989586621680321767Sym1 Lambda_6989586621680743761Sym1 Let6989586621680743858Scrutinee_6989586621680743643Sym1 Let6989586621680743845Scrutinee_6989586621680743645Sym1 FindSym1 Let6989586621680743760Scrutinee_6989586621680743651Sym1 Let6989586621679942740GoSym1 MfilterSym1 FilterMSym1 Lambda_6989586621681402999Sym1 Lambda_6989586621681403167Sym1 Lambda_6989586621680321839Sym1 sizeOf# alignment# indexByteArray# readByteArray# writeByteArray# setByteArray# indexOffAddr# readOffAddr# writeOffAddr# setOffAddr# reflect Cons _Cons Prism Snoc _Snoc GTextShowConB gShowbPrecCon ShowFunsB GTextShowConT gShowtPrecCon ShowFunsT GTextShowConTL gShowtlPrecCon ShowFunsTL IsNullary isNullary FailSym0 SMonadFail Fail_6989586621680105810Sym0 Fail_6989586621680105804Sym0 D:R:VectorChar0 V_Char D:R:UReckCharp0 D:R:MVectorsChar0 MV_Char Fail Fail_6989586621680105804 Fail_6989586621680105810 Default def D:R:VectorDouble0 V_Double D:R:UReckDoublep0 D:R:MVectorsDouble0 MV_Double D:R:VectorFloat0 V_Float D:R:UReckFloatp0 D:R:MVectorsFloat0 MV_Float FoldableWithIndex ifoldMap ifolded IndexedFold ifoldr ifoldl ifoldr' ifoldl' FunctorWithIndex imap imapped IndexedSetter TraversableWithIndex itraverse itraversed IndexedTraversal TraverseMax traverseMax IndexedTraversal' TraverseMin traverseMin Bizarre bazaar Z D PD SD D:R:VectorInt0 V_Int D:R:UReckIntp0 D:R:MVectorsInt0 MV_Int Hashable1 liftHashWithSalt Arbitrary1 liftArbitrary liftShrink PFoldable Fold FoldMap Foldr Foldr' Foldl Foldl' Foldr1 Foldl1 ToList Length Maximum Minimum Sum Product sFold SMonoid FoldSym0 sFoldMap FoldMapSym0 sFoldr FoldrSym0 sFoldr' Foldr'Sym0 sFoldl FoldlSym0 sFoldl' Foldl'Sym0 sFoldr1 Foldr1Sym0 sFoldl1 Foldl1Sym0 sToList ToListSym0 sNull sLength LengthSym0 sElem sMaximum MaximumSym0 sMinimum MinimumSym0 sSum SNum SumSym0 sProduct ProductSym0 PMonadFail sFail PApplicative Pure <*> LiftA2 *> <* PFunctor Fmap <$ PMonad >>= >> Return sPure PureSym0 %<*> <*>@#@$ sLiftA2 LiftA2Sym0 %*> *>@#@$ %<* <*@#@$ SFunctor sFmap FmapSym0 %<$ <$@#@$ SMonad %>>= >>=@#@$ %>> >>@#@$ sReturn ReturnSym0 PTraversable Traverse SequenceA MapM Sequence STraversable sTraverse TraverseSym0 sSequenceA SequenceASym0 sMapM MapMSym0 sSequence SequenceSym0 PMonadPlus Mzero Mplus sMzero MzeroSym0 sMplus MplusSym0 PAlternative Empty <|> sEmpty EmptySym0 %<|> <|>@#@$ At at Index Lens' IxValue Ixed ix Traversal' AsEmpty _Empty Prism' PMonoid Mempty Mappend Mconcat SSemigroup sMempty MemptySym0 sMappend MappendSym0 sMconcat MconcatSym0 PSemigroup <> Sconcat %<> <>@#@$ sSconcat SconcatSym0 Corecursive embed Base ana apo postpro Recursive gpostpro project cata para gpara Comonad prepro gprepro SMaybe SDecide Each each Traversal CatMaybesSym0 ListToMaybeSym0 MaybeToListSym0 FromJustSym0 OptionSym0 LastSym0 FirstSym0 FromMaybeSym0 ElemIndexSym0 JustSym0 StripPrefixSym0 MinInternalSym0 MinInternal MaxInternalSym0 MaxInternal TFHelper_6989586621680025611Sym0 Compare_6989586621679804211Sym0 ShowsPrec_6989586621680596746Sym0 Pure_6989586621680025326Sym0 Let6989586621680025619LSym0 GetOptionSym0 GetFirstSym0 GetLastSym0 ElemIndexSym1 FromMaybeSym1 Maybe_Sym0 LookupSym0 OptionalSym0 MapMaybeSym0 UnfoldrSym0 StripPrefixSym1 ShowsPrec_6989586621680596746Sym1 TFHelper_6989586621680025611Sym1 TFHelper_6989586621680025521Sym0 TFHelper_6989586621680025509Sym0 TFHelper_6989586621680025366Sym0 Compare_6989586621679804211Sym1 TFHelper_6989586621680025336Sym0 Let6989586621680735324NSym0 Let6989586621680735324MSym0 Let6989586621680735297NSym0 Let6989586621680735297MSym0 TFHelper_6989586621680025188Sym0 Fmap_6989586621680025175Sym0 LookupSym1 Maybe_Sym1 TFHelper_6989586621680025521Sym1 TFHelper_6989586621680025366Sym1 TFHelper_6989586621680025336Sym1 TFHelper_6989586621680025188Sym1 Fmap_6989586621680025175Sym1 Let6989586621680735324NSym1 Let6989586621680735324MSym1 Let6989586621680735297NSym1 Let6989586621680735297MSym1 Lambda_6989586621680642018Sym0 Lambda_6989586621680641930Sym0 Traverse_6989586621680996069Sym0 Let6989586621679914571RsSym0 Let6989586621680744235MfSym0 Let6989586621680744210MfSym0 TFHelper_6989586621680025509Sym1 LiftA2_6989586621680025350Sym0 Maybe_Sym2 Traverse_6989586621680996069Sym1 LiftA2_6989586621680025350Sym1 Let6989586621680744235MfSym1 Let6989586621680744210MfSym1 Lambda_6989586621680642018Sym1 Lambda_6989586621680641930Sym1 Let6989586621680744235MfSym2 LiftA2_6989586621680025350Sym2 Let6989586621680744210MfSym2 Lambda_6989586621680642018Sym2 Lambda_6989586621680641930Sym2 Let6989586621680744210MfSym3 Let6989586621680744235MfSym3 Mzero_6989586621679964361Sym0 Empty_6989586621680025607Sym0 Maximum_6989586621680744319Sym0 Minimum_6989586621680744332Sym0 Product_6989586621680744358Sym0 Sum_6989586621680744345Sym0 Return_6989586621679964345Sym0 Fold_6989586621680744068Sym0 Length_6989586621680744281Sym0 ToList_6989586621680744251Sym0 Foldl1_6989586621680744227Sym0 Foldr1_6989586621680744202Sym0 Sequence_6989586621680990037Sym0 SequenceA_6989586621680990012Sym0 Mplus_6989586621679964365Sym0 FoldMap_6989586621680744372Sym0 TFHelper_6989586621679964298Sym0 Foldl_6989586621680744407Sym0 Foldr_6989586621680744389Sym0 MapM_6989586621680990022Sym0 Foldl'_6989586621680744173Sym0 Foldr'_6989586621680744118Sym0 Pure_6989586621680025326 Let6989586621680025619L Let6989586621680735297N Let6989586621680735297M Let6989586621680735324N Let6989586621680735324M Lambda_6989586621680641930 Lambda_6989586621680642018 Let6989586621680744235Mf FoldMap MEmpty D:R:SingMaybeb0 SNothing SJust CLog Mempty_6989586621680632395Sym0 Mconcat_6989586621680632314Sym0 Sconcat_6989586621680188702Sym0 Equals_6989586621679777050 Mappend_6989586621680632299Sym0 TFHelper_6989586621680188933Sym0 <> FromJust FromMaybe Compare_6989586621679804211 Maybe_ CatMaybes ListToMaybe MaybeToList MaxInternal MinInternal GetOption GetFirst GetLast Find FindIndex ElemIndex StripPrefix TFHelper_6989586621680025611 Optional Lookup Fmap_6989586621680025175 TFHelper_6989586621680025188 TFHelper_6989586621680025336 TFHelper_6989586621680025366 TFHelper_6989586621680025521 Find Traverse_6989586621680996069 LiftA2_6989586621680025350 Let6989586621680744210Mf Init Tail Map Cons Head Last ShowsPrec_6989586621680596746Sym2 + NumIter Find Lookup Snd <=< Fst TFHelper_6989586621680025509 MapMaybeSym1 UnfoldrSym1 Let6989586621679914571RsSym1 SOrdering ThenCmpSym0 FromEnum_6989586621680153621Sym0 Compare_6989586621679804734Sym0 ToEnum_6989586621680153605Sym0 ShowsPrec_6989586621680596894Sym0 Compare_6989586621679804744Sym0 Compare_6989586621679804336Sym0 Compare_6989586621680207633Sym0 Compare_6989586621680207651Sym0 ThenCmpSym1 ListsortBySym0 SortBySym0 MinimumBySym0 MaximumBySym0 InsertBySym0 Compare_6989586621679804243Sym0 Compare_6989586621679804734Sym1 ShowsPrec_6989586621680596894Sym1 Compare_6989586621679804744Sym1 Let6989586621679793590Scrutinee_6989586621679793413Sym0 Let6989586621679793572Scrutinee_6989586621679793411Sym0 Let6989586621679793554Scrutinee_6989586621679793409Sym0 Let6989586621679793536Scrutinee_6989586621679793407Sym0 Compare_6989586621679793504Sym0 Compare_6989586621679804336Sym1 Compare_6989586621680207714Sym0 Compare_6989586621680207735Sym0 Compare_6989586621680207756Sym0 Compare_6989586621680207777Sym0 Compare_6989586621680207798Sym0 Compare_6989586621680207594Sym0 Compare_6989586621679804710Sym0 Compare_6989586621680637493Sym0 Compare_6989586621680637514Sym0 Compare_6989586621680207615Sym0 Compare_6989586621680207633Sym1 Compare_6989586621680207651Sym1 Compare_6989586621680207672Sym0 Compare_6989586621680207693Sym0 Compare_6989586621679802938Sym0 Compare_6989586621679804318Sym0 CompareSym1 MinimumBySym0 MaximumBySym0 ComparingSym0 Compare_6989586621679804243Sym1 Compare_6989586621679804289Sym0 Compare_6989586621679804360Sym0 Let6989586621679793590Scrutinee_6989586621679793413Sym1 Let6989586621679793572Scrutinee_6989586621679793411Sym1 Let6989586621679793554Scrutinee_6989586621679793409Sym1 Let6989586621679793536Scrutinee_6989586621679793407Sym1 Compare_6989586621679793504Sym1 Compare_6989586621680207714Sym1 Compare_6989586621680207735Sym1 Compare_6989586621681109351Sym0 Compare_6989586621680207756Sym1 Compare_6989586621680207777Sym1 Compare_6989586621680207798Sym1 Compare_6989586621680207594Sym1 Compare_6989586621679804710Sym1 Compare_6989586621680637493Sym1 Compare_6989586621680637514Sym1 Compare_6989586621680207615Sym1 Compare_6989586621680207672Sym1 Compare_6989586621680207693Sym1 Compare_6989586621679802938Sym1 Compare_6989586621679804318Sym1 Let6989586621680743822Max'Sym0 Let6989586621680743797Min'Sym0 ComparingSym1 Compare_6989586621679804289Sym1 Compare_6989586621679804360Sym1 Compare_6989586621679804399Sym0 Compare_6989586621681109351Sym1 Compare_6989586621680954518Sym0 Let6989586621680321973MaxBySym0 Let6989586621680321943MinBySym0 ComparingSym2 Compare_6989586621679804399Sym1 Compare_6989586621679804449Sym0 Compare_6989586621680954518Sym1 Compare_6989586621679804449Sym1 Compare_6989586621679804510Sym0 Compare_6989586621679804510Sym1 Compare_6989586621679804582Sym0 Compare_6989586621679804582Sym1 Compare_6989586621679804665Sym0 Compare_6989586621679804665Sym1 MaxBound_6989586621680126229Sym0 MinBound_6989586621680126227Sym0 Mempty_6989586621680632393Sym0 Equals_6989586621679777474 TFHelper_6989586621680188922Sym0 FromEnum_6989586621680153621 ToEnum_6989586621680153605 ThenCmp Compare_6989586621679804734 Compare_6989586621679804744 Compare_6989586621679804336 Compare_6989586621680207633 Compare_6989586621680207651 Compare_6989586621679793504 Let6989586621679793590Scrutinee_6989586621679793413 Let6989586621679793572Scrutinee_6989586621679793411 Let6989586621679793554Scrutinee_6989586621679793409 Let6989586621679793536Scrutinee_6989586621679793407 Comparing ShowsPrec_6989586621680596894Sym2 Compare_6989586621679804243 Compare_6989586621680207714 Compare_6989586621680207735 Compare_6989586621680207756 Compare_6989586621680207777 Compare_6989586621680207798 Compare_6989586621680207594 Compare_6989586621679804710 Compare_6989586621680637493 Compare_6989586621680637514 Compare_6989586621680207615 Compare_6989586621680207672 Compare_6989586621680207693 Compare_6989586621679802938 Compare_6989586621679804318 Compare_6989586621679804289 Compare_6989586621679804360 Compare_6989586621681109351 ListsortBySym1 InsertBySym1 SortBySym1 MaximumBySym1 MinimumBySym1 Let6989586621680743797Min'Sym1 Let6989586621680743822Max'Sym1 MaximumBySym1 MinimumBySym1 Let6989586621680321943MinBySym1 Let6989586621680321973MaxBySym1 Compare_6989586621679804399 Compare_6989586621680954518 Compare_6989586621679804449 Compare_6989586621679804510 Compare_6989586621679804582 Compare_6989586621679804665 primitive PrimBase internal spr UPrintf hspr D:R:VectorWord0 V_Word D:R:UReckWordp0 D:R:MVectorsWord0 MV_Word Hashable2 liftHashWithSalt2 Swapped swapped Iso Arbitrary2 liftArbitrary2 liftShrink2 Bitraversable1 bitraverse1 bisequence1 SEither RightsSym0 PartitionEithersSym0 LeftsSym0 RightSym0 LeftSym0 ShowsPrec_6989586621680596802Sym0 Pure_6989586621680025486Sym0 Let6989586621680188955ASym0 Either_Sym0 ShowsPrec_6989586621680596802Sym1 TFHelper_6989586621680025596Sym0 TFHelper_6989586621680025496Sym0 TFHelper_6989586621680025299Sym0 Fmap_6989586621680025278Sym0 Either_Sym1 TFHelper_6989586621680025496Sym1 TFHelper_6989586621680025299Sym1 Fmap_6989586621680025278Sym1 Traverse_6989586621680996109Sym0 TFHelper_6989586621680025596Sym1 Either_Sym2 Traverse_6989586621680996109Sym1 LiftA2_6989586621679964268Sym0 FoldMap_6989586621680744708Sym0 Foldl_6989586621680744148Sym0 Foldr_6989586621680744721Sym0 Pure_6989586621680025486 Let6989586621680188955A Length_6989586621680744737Sym0 TFHelper_6989586621679964286Sym0 TFHelper_6989586621679964324Sym0 Rights Lefts PartitionEithers Equals_6989586621679777102 TFHelper_6989586621680188947Sym0 Either_ Traverse_6989586621680996109 ShowsPrec_6989586621680596802Sym2 Fmap_6989586621680025278 TFHelper_6989586621680025299 TFHelper_6989586621680025496 TFHelper_6989586621680025596 Bimap
Warning: Clash.XException: could not find link destinations for:
Half
Warning: Clash.Promoted.Nat: could not find link destinations for:
Min Max FLog CLog Log
Warning: Clash.Sized.Internal.BitVector: could not find link destinations for:
Default def Ixed ix Index Traversal' IxValue Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Max
Warning: Clash.Sized.BitVector: could not find link destinations for:
Default def Ixed ix Index Traversal' IxValue Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Max
Warning: Clash.Signal.Internal: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Hashable hashWithSalt hash Automaton Property
Warning: Clash.Signal.Delayed.Internal: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary
Warning: Clash.Class.BitPack: could not find link destinations for:
CLog Half
Warning: Clash.Sized.Internal.Unsigned: could not find link destinations for:
Ixed ix Index Traversal' IxValue Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Max
Warning: Clash.Sized.Unsigned: could not find link destinations for:
Ixed ix Index Traversal' IxValue Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Max
Warning: Clash.Sized.Internal.Signed: could not find link destinations for:
Ixed ix Index Traversal' IxValue Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Max
Warning: Clash.Sized.Signed: could not find link destinations for:
Ixed ix Index Traversal' IxValue Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Max
Warning: Clash.Sized.Fixed: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Max
Warning: Clash.Sized.Internal.Index: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary CLog Max
Warning: Clash.Sized.Index: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary CLog Max
Warning: Clash.Sized.Vector: could not find link destinations for:
Ixed ix Index Traversal' IxValue Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary TyFun @@ Apply
Warning: Clash.Class.HasDomain.HasSpecificDomain: could not find link destinations for:
IfStuck Pure DelayError
Warning: Clash.Sized.RTree: could not find link destinations for:
Ixed ix Index Traversal' IxValue Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary TyFun @@ Apply
Warning: Clash.Class.HasDomain.HasSingleDomain: could not find link destinations for:
IfStuck DelayError Pure
Warning: Clash.Class.HasDomain: could not find link destinations for:
IfStuck Pure
Warning: Clash.Signal.BiSignal: could not find link destinations for:
Given given
Warning: Clash.Explicit.Signal: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Hashable hashWithSalt hash Automaton Property Given
Warning: Clash.Explicit.Signal.Delayed: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary
Warning: Clash.Signal: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Hashable hashWithSalt hash Automaton Property Given withSpecificClock withSpecificReset withSpecificEnable withSpecificClockResetEnable
Warning: Clash.Signal.Delayed: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary
Warning: Clash.Annotations.TH: could not find link destinations for:
Tracked DatatypeInfo Naming Corecursive embed Base ana apo postpro Recursive gpostpro project cata para gpara Comonad EnvT prepro gprepro
Warning: Clash.Explicit.Prelude.Safe: could not find link destinations for:
Ixed ix Index Traversal' IxValue Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary TyFun Apply @@ ConstructorInfo DatatypeInfo ConstructorVariant DatatypeVariant FieldStrictness Strictness Unpackedness Specificity ConType GenTextMethods Options Half SrcSpanInfo SrcLoc SrcSpan Boxed Tool DType DLetDec DTyVarBndr DCon DClause DExp DTypeFamilyHead DConFields DDec DDerivClause DDerivStrategy DFamilyResultSig DForeign DInfo DMatch DPat DPatSynDir DPragma DRuleBndr DTySynEqn NewOrData DTypeArg FromStringShow FromTextShow FromGeneric ModulePragma ModuleHead ImportDecl ModuleName Decl Exp Module Pat Stmt Type Loc Activation Alt Annotation Assoc Asst BangType Binds BooleanFormula Bracket CName CallConv ClassDecl ConDecl Context DataOrNew DeclHead DerivStrategy Deriving EWildcard ExportSpec ExportSpecList FieldDecl FieldUpdate FunDep GadtDecl GuardedRhs IPBind IPName ImportSpec ImportSpecList InjectivityInfo InstDecl InstHead InstRule Literal Match MaybePromotedName Name Namespace Op Overlap PXAttr PatField PatternSynDirection Promoted QName QOp QualConDecl QualStmt RPat RPatOp ResultSig Rhs Role Rule RuleVar Safety Sign SpecialCon Splice TyVarBind TypeEqn Unpackedness WarningText XAttr XName Fix Cofree Free ListF NonEmptyF TreeF FromStringShow1 FromTextShow1 FromGeneric1 Tagged Fix Join CofreeF FreeF FromStringShow2 FromTextShow2 Clown Flip Joker WrappedBifunctor Product Sum Tannen Biff
Warning: Clash.Prelude.Safe: could not find link destinations for:
Ixed ix Index Traversal' IxValue Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary TyFun Apply @@ ConstructorInfo DatatypeInfo ConstructorVariant DatatypeVariant FieldStrictness Strictness Unpackedness Specificity ConType GenTextMethods Options Half SrcSpanInfo SrcLoc SrcSpan Boxed Tool DType DLetDec DTyVarBndr DCon DClause DExp DTypeFamilyHead DConFields DDec DDerivClause DDerivStrategy DFamilyResultSig DForeign DInfo DMatch DPat DPatSynDir DPragma DRuleBndr DTySynEqn NewOrData DTypeArg FromStringShow FromTextShow FromGeneric ModulePragma ModuleHead ImportDecl ModuleName Decl Exp Module Pat Stmt Type Loc Activation Alt Annotation Assoc Asst BangType Binds BooleanFormula Bracket CName CallConv ClassDecl ConDecl Context DataOrNew DeclHead DerivStrategy Deriving EWildcard ExportSpec ExportSpecList FieldDecl FieldUpdate FunDep GadtDecl GuardedRhs IPBind IPName ImportSpec ImportSpecList InjectivityInfo InstDecl InstHead InstRule Literal Match MaybePromotedName Name Namespace Op Overlap PXAttr PatField PatternSynDirection Promoted QName QOp QualConDecl QualStmt RPat RPatOp ResultSig Rhs Role Rule RuleVar Safety Sign SpecialCon Splice TyVarBind TypeEqn Unpackedness WarningText XAttr XName Fix Cofree Free ListF NonEmptyF TreeF FromStringShow1 FromTextShow1 FromGeneric1 Tagged Fix Join CofreeF FreeF FromStringShow2 FromTextShow2 Clown Flip Joker WrappedBifunctor Product Sum Tannen Biff
Warning: Clash.Class.AutoReg: could not find link destinations for:
Half
Warning: Clash.Class.Exp: could not find link destinations for:
Max
Warning: Clash.Explicit.Prelude: could not find link destinations for:
Default Ixed ix Index Traversal' IxValue def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary TyFun Apply @@ ConstructorInfo DatatypeInfo ConstructorVariant DatatypeVariant FieldStrictness Strictness Unpackedness Specificity ConType GenTextMethods Options Half SrcSpanInfo SrcLoc SrcSpan Boxed Tool DType DLetDec DTyVarBndr DCon DClause DExp DTypeFamilyHead DConFields DDec DDerivClause DDerivStrategy DFamilyResultSig DForeign DInfo DMatch DPat DPatSynDir DPragma DRuleBndr DTySynEqn NewOrData DTypeArg FromStringShow FromTextShow FromGeneric ModulePragma ModuleHead ImportDecl ModuleName Decl Exp Module Pat Stmt Type Loc Activation Alt Annotation Assoc Asst BangType Binds BooleanFormula Bracket CName CallConv ClassDecl ConDecl Context DataOrNew DeclHead DerivStrategy Deriving EWildcard ExportSpec ExportSpecList FieldDecl FieldUpdate FunDep GadtDecl GuardedRhs IPBind IPName ImportSpec ImportSpecList InjectivityInfo InstDecl InstHead InstRule Literal Match MaybePromotedName Name Namespace Op Overlap PXAttr PatField PatternSynDirection Promoted QName QOp QualConDecl QualStmt RPat RPatOp ResultSig Rhs Role Rule RuleVar Safety Sign SpecialCon Splice TyVarBind TypeEqn Unpackedness WarningText XAttr XName Fix Cofree Free ListF NonEmptyF TreeF FromStringShow1 FromTextShow1 FromGeneric1 Tagged Fix Join CofreeF FreeF FromStringShow2 FromTextShow2 Clown Flip Joker WrappedBifunctor Product Sum Tannen Biff CLog
Warning: Clash.Prelude: could not find link destinations for:
Default def Arbitrary arbitrary Gen shrink CoArbitrary coarbitrary Hashable hashWithSalt hash Given Property Automaton Ixed ix Index Traversal' IxValue TyFun Apply @@ ConstructorInfo DatatypeInfo ConstructorVariant DatatypeVariant FieldStrictness Strictness Unpackedness Specificity ConType GenTextMethods Options Half SrcSpanInfo SrcLoc SrcSpan Boxed Tool DType DLetDec DTyVarBndr DCon DClause DExp DTypeFamilyHead DConFields DDec DDerivClause DDerivStrategy DFamilyResultSig DForeign DInfo DMatch DPat DPatSynDir DPragma DRuleBndr DTySynEqn NewOrData DTypeArg FromStringShow FromTextShow FromGeneric ModulePragma ModuleHead ImportDecl ModuleName Decl Exp Module Pat Stmt Type Loc Activation Alt Annotation Assoc Asst BangType Binds BooleanFormula Bracket CName CallConv ClassDecl ConDecl Context DataOrNew DeclHead DerivStrategy Deriving EWildcard ExportSpec ExportSpecList FieldDecl FieldUpdate FunDep GadtDecl GuardedRhs IPBind IPName ImportSpec ImportSpecList InjectivityInfo InstDecl InstHead InstRule Literal Match MaybePromotedName Name Namespace Op Overlap PXAttr PatField PatternSynDirection Promoted QName QOp QualConDecl QualStmt RPat RPatOp ResultSig Rhs Role Rule RuleVar Safety Sign SpecialCon Splice TyVarBind TypeEqn Unpackedness WarningText XAttr XName Fix Cofree Free ListF NonEmptyF TreeF FromStringShow1 FromTextShow1 FromGeneric1 Tagged Fix Join CofreeF FreeF FromStringShow2 FromTextShow2 Clown Flip Joker WrappedBifunctor Product Sum Tannen Biff CLog
Warning: Clash.Examples: could not find link destinations for:
.= += %=
Documentation created:
/home/peter/src/clash/clash-compiler/dist-newstyle/build/x86_64-linux/ghc-8.8.4/clash-prelude-1.3.0/doc/html/clash-prelude/index.html
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment