Skip to content

Instantly share code, notes, and snippets.

View FilipRastovic's full-sized avatar
🏠
Working from home

Filip Rastovic FilipRastovic

🏠
Working from home
View GitHub Profile
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.numeric_std.all;
entity zmigavac2 is
Port ( iCLK : in STD_LOGIC;
inRST : in STD_LOGIC;