Skip to content

Instantly share code, notes, and snippets.

@LB--
Created October 19, 2015 21:55
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save LB--/68b69a24834be74ccba5 to your computer and use it in GitHub Desktop.
Save LB--/68b69a24834be74ccba5 to your computer and use it in GitHub Desktop.
-- The C compiler identification is GNU 5.2.0
-- The CXX compiler identification is GNU 5.2.0
-- Check for working C compiler: C:/MinGW/bin/gcc.exe
-- Check for working C compiler: C:/MinGW/bin/gcc.exe -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- Configuring done
-- Generating done
-- Build files have been written to: C:/Users/LB/GitHub/magnum-plugins-bug/build
Scanning dependencies of target Corrade
[ 3%] Creating directories for 'Corrade'
[ 6%] Performing download step (git clone) for 'Corrade'
Cloning into 'Corrade'...
Your branch is up-to-date with 'origin/master'.
Already on 'master'
Submodule 'toolchains' (git://github.com/mosra/toolchains.git) registered for path 'toolchains'
Cloning into 'toolchains'...
Submodule path 'toolchains': checked out 'a4683ed5662754a6498e64f30064b9f118f78285'
[ 9%] No patch step for 'Corrade'
[ 12%] Performing update step for 'Corrade'
Current branch master is up to date.
[ 15%] Performing configure step for 'Corrade'
-- The C compiler identification is GNU 5.2.0
-- The CXX compiler identification is GNU 5.2.0
-- Check for working C compiler: C:/MinGW/bin/gcc.exe
-- Check for working C compiler: C:/MinGW/bin/gcc.exe -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- LIB_SUFFIX variable is not defined. It will be autodetected now.
-- You can set it manually with -DLIB_SUFFIX=<value> (64 for example)
-- LIB_SUFFIX autodetected as '', libraries will be installed into C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib
-- Check if the system is big endian
-- Searching 16 bit integer
-- Looking for sys/types.h
-- Looking for sys/types.h - found
-- Looking for stdint.h
-- Looking for stdint.h - found
-- Looking for stddef.h
-- Looking for stddef.h - found
-- Check size of unsigned short
-- Check size of unsigned short - done
-- Using unsigned short
-- Check if the system is big endian - little endian
-- Configuring done
-- Generating done
-- Build files have been written to: C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade-build
[ 18%] Performing build step for 'Corrade'
Scanning dependencies of target CorradeUtilityObjects
[ 3%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtilityObjects.dir/Debug.cpp.obj
[ 6%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtilityObjects.dir/Directory.cpp.obj
[ 10%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtilityObjects.dir/Configuration.cpp.obj
[ 13%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtilityObjects.dir/ConfigurationValue.cpp.obj
[ 17%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtilityObjects.dir/MurmurHash2.cpp.obj
[ 20%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtilityObjects.dir/Sha1.cpp.obj
[ 24%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtilityObjects.dir/String.cpp.obj
[ 27%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtilityObjects.dir/utilities.cpp.obj
[ 27%] Built target CorradeUtilityObjects
Scanning dependencies of target CorradeUtility
[ 31%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtility.dir/Arguments.cpp.obj
[ 34%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtility.dir/ConfigurationGroup.cpp.obj
[ 37%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtility.dir/Resource.cpp.obj
[ 41%] Building CXX object src/Corrade/Utility/CMakeFiles/CorradeUtility.dir/Unicode.cpp.obj
[ 44%] Linking CXX shared library libCorradeUtility.dll
[ 44%] Built target CorradeUtility
Scanning dependencies of target CorradeInterconnect
[ 48%] Building CXX object src/Corrade/Interconnect/CMakeFiles/CorradeInterconnect.dir/Connection.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Interconnect/Emitter.h:39,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\Interconnect\Connection.cpp:30:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 51%] Building CXX object src/Corrade/Interconnect/CMakeFiles/CorradeInterconnect.dir/Emitter.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Assert.h:35:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\Interconnect\Emitter.h:39,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\Interconnect\Emitter.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 55%] Building CXX object src/Corrade/Interconnect/CMakeFiles/CorradeInterconnect.dir/Receiver.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Interconnect/Emitter.h:39,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\Interconnect\Receiver.cpp:31:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 58%] Linking CXX shared library libCorradeInterconnect.dll
[ 58%] Built target CorradeInterconnect
Scanning dependencies of target CorradePluginManagerObjects
[ 62%] Building CXX object src/Corrade/PluginManager/CMakeFiles/CorradePluginManagerObjects.dir/AbstractPlugin.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/PluginManager/AbstractManager.h:42:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\PluginManager\AbstractPlugin.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\PluginManager\AbstractPlugin.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 65%] Building CXX object src/Corrade/PluginManager/CMakeFiles/CorradePluginManagerObjects.dir/PluginMetadata.cpp.obj
[ 65%] Built target CorradePluginManagerObjects
Scanning dependencies of target CorradePluginManager
[ 68%] Building CXX object src/Corrade/PluginManager/CMakeFiles/CorradePluginManager.dir/AbstractManager.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\PluginManager\AbstractManager.h:42:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\PluginManager\AbstractManager.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 72%] Linking CXX shared library libCorradePluginManager.dll
[ 72%] Built target CorradePluginManager
Scanning dependencies of target CorradeTestSuite
[ 75%] Building CXX object src/Corrade/TestSuite/CMakeFiles/CorradeTestSuite.dir/Tester.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/TestSuite/Comparator.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\TestSuite\Tester.h:38,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\TestSuite\Tester.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 79%] Building CXX object src/Corrade/TestSuite/CMakeFiles/CorradeTestSuite.dir/Compare/File.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\TestSuite\Compare\File.cpp:33:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 82%] Building CXX object src/Corrade/TestSuite/CMakeFiles/CorradeTestSuite.dir/Compare/FileToString.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\TestSuite\Compare\FileToString.cpp:33:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 86%] Building CXX object src/Corrade/TestSuite/CMakeFiles/CorradeTestSuite.dir/Compare/FloatingPoint.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\TestSuite\Compare\FloatingPoint.cpp:30:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 89%] Building CXX object src/Corrade/TestSuite/CMakeFiles/CorradeTestSuite.dir/Compare/StringToFile.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\TestSuite\Compare\StringToFile.cpp:33:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 93%] Linking CXX shared library libCorradeTestSuite.dll
[ 93%] Built target CorradeTestSuite
Scanning dependencies of target corrade-rc
[ 96%] Building CXX object src/Corrade/Utility/CMakeFiles/corrade-rc.dir/rc.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Corrade-prefix\src\Corrade\src\Corrade\Utility\rc.cpp:38:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/Corrade-prefix/src/Corrade/src/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[100%] Linking CXX executable corrade-rc.exe
[100%] Built target corrade-rc
[ 21%] Performing install step for 'Corrade'
[ 27%] Built target CorradeUtilityObjects
[ 44%] Built target CorradeUtility
[ 58%] Built target CorradeInterconnect
[ 65%] Built target CorradePluginManagerObjects
[ 72%] Built target CorradePluginManager
[ 93%] Built target CorradeTestSuite
[100%] Built target corrade-rc
Install the project...
-- Install configuration: ""
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/share/cmake/Corrade/CorradeConfig.cmake
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/share/cmake/Corrade/CorradeLibSuffix.cmake
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/share/cmake/Corrade/FindCorrade.cmake
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/share/cmake/Corrade/UseCorrade.cmake
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Corrade.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/configure.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Containers.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/EnumSet.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/LinkedList.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Tags.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libCorradeInterconnect.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libCorradeInterconnect.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Interconnect/Connection.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Interconnect/Emitter.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Interconnect/Interconnect.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Interconnect/Receiver.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Interconnect/StateMachine.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Interconnect/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libCorradePluginManager.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libCorradePluginManager.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractPlugin.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractManager.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractManagingPlugin.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/Manager.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/PluginManager.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/PluginMetadata.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libCorradeTestSuite.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libCorradeTestSuite.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/TestSuite/Comparator.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/TestSuite/Tester.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/TestSuite/TestSuite.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/TestSuite/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/TestSuite/Compare/Container.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/TestSuite/Compare/File.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/TestSuite/Compare/FileToString.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/TestSuite/Compare/FloatingPoint.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/TestSuite/Compare/StringToFile.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libCorradeUtility.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libCorradeUtility.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Arguments.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/AbstractHash.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Configuration.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/ConfigurationGroup.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/ConfigurationValue.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Directory.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Endianness.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Macros.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/MurmurHash2.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Resource.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Sha1.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/String.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/TypeTraits.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Unicode.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/utilities.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Utility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/VisibilityMacros.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/corrade-rc.exe
[ 25%] Completed 'Corrade'
[ 25%] Built target Corrade
Scanning dependencies of target Magnum
[ 28%] Creating directories for 'Magnum'
[ 31%] Performing download step (git clone) for 'Magnum'
Cloning into 'Magnum'...
Checking out files: 100% (855/855), done.
Your branch is up-to-date with 'origin/master'.
Already on 'master'
Submodule 'toolchains' (git://github.com/mosra/toolchains.git) registered for path 'toolchains'
Cloning into 'toolchains'...
Submodule path 'toolchains': checked out 'a4683ed5662754a6498e64f30064b9f118f78285'
[ 34%] No patch step for 'Magnum'
[ 37%] Performing update step for 'Magnum'
Current branch master is up to date.
[ 40%] Performing configure step for 'Magnum'
-- The C compiler identification is GNU 5.2.0
-- The CXX compiler identification is GNU 5.2.0
-- Check for working C compiler: C:/MinGW/bin/gcc.exe
-- Check for working C compiler: C:/MinGW/bin/gcc.exe -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- Found Corrade: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libCorradeUtility.dll.a
-- Found OpenGL: opengl32
-- LIB_SUFFIX variable is not defined. It will be autodetected now.
-- You can set it manually with -DLIB_SUFFIX=<value> (64 for example)
-- LIB_SUFFIX autodetected as '', libraries will be installed into C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib
-- Configuring done
-- Generating done
-- Build files have been written to: C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum-build
[ 43%] Performing build step for 'Magnum'
Scanning dependencies of target MagnumFlextGLObjects
[ 0%] Building CXX object src/MagnumExternal/OpenGL/GL/CMakeFiles/MagnumFlextGLObjects.dir/flextGL.cpp.obj
[ 0%] Built target MagnumFlextGLObjects
Scanning dependencies of target MagnumMathObjects
[ 1%] Building CXX object src/Magnum/CMakeFiles/MagnumMathObjects.dir/Math/Functions.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Math\Functions.h:38,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Math\Functions.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 2%] Building CXX object src/Magnum/CMakeFiles/MagnumMathObjects.dir/Math/instantiation.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Complex.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/DualComplex.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Math\instantiation.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 2%] Built target MagnumMathObjects
Scanning dependencies of target Magnum
[ 2%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/AbstractFramebuffer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Range.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\AbstractFramebuffer.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\AbstractFramebuffer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 3%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/AbstractObject.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\AbstractObject.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 4%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/AbstractTexture.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\AbstractTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\AbstractTexture.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 4%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/AbstractShaderProgram.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\AbstractShaderProgram.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\AbstractShaderProgram.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 5%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Attribute.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Attribute.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 6%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Buffer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Buffer.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Buffer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 7%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/CubeMapTexture.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\CubeMapTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\CubeMapTexture.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 7%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Context.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Context.cpp:30:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 8%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/DefaultFramebuffer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Range.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractFramebuffer.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DefaultFramebuffer.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DefaultFramebuffer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 9%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Framebuffer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Range.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractFramebuffer.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Framebuffer.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Framebuffer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 10%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Image.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Image.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Image.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 10%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Mesh.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Mesh.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 11%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/MeshView.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshView.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 12%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/OpenGL.cpp.obj
[ 12%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/PixelFormat.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\PixelFormat.cpp:28:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 13%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/PixelStorage.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\PixelStorage.h:37,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\PixelStorage.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 14%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Renderbuffer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Renderbuffer.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Renderbuffer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 15%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Renderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Functions.h:38,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Color.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Renderer.cpp:30:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 15%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Resource.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Resource.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 16%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Sampler.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Sampler.cpp:28:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 17%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Shader.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shader.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shader.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 18%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Texture.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Texture.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Texture.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 18%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Timeline.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Timeline.cpp:28:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 19%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Version.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Version.cpp:28:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 20%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/BufferState.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\BufferState.h:28,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\BufferState.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 20%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/FramebufferState.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Range.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractFramebuffer.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Framebuffer.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\FramebufferState.h:31,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\FramebufferState.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 21%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/MeshState.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\MeshState.h:31,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\MeshState.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 22%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/RendererState.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\RendererState.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\RendererState.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 23%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/ShaderProgramState.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractShaderProgram.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\ShaderProgramState.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 23%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/State.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\BufferState.h:28,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\State.cpp:33:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 24%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/TextureState.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractTexture.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/CubeMapTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\TextureState.h:31,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\TextureState.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 25%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/driverSpecific.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Range.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\driverSpecific.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 26%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/maxTextureSize.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractTexture.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/CubeMapTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\TextureState.h:31,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\maxTextureSize.cpp:31:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 26%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/AbstractImageConverter.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractManager.h:42:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractPlugin.h:34,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractManagingPlugin.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\AbstractImageConverter.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\AbstractImageConverter.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 27%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/AbstractImporter.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractManager.h:42:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractPlugin.h:34,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractManagingPlugin.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\AbstractImporter.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\AbstractImporter.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 28%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/AbstractMaterialData.cpp.obj
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\AbstractMaterialData.cpp:28:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 29%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/ImageData.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\ImageData.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\ImageData.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 29%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/MeshData2D.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\MeshData2D.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 30%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/MeshData3D.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\MeshData3D.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 31%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/MeshObjectData2D.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/RectangularMatrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Trade/ObjectData2D.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\MeshObjectData2D.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\MeshObjectData2D.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 31%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/MeshObjectData3D.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/RectangularMatrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix4.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Trade/ObjectData3D.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\MeshObjectData3D.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\MeshObjectData3D.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 32%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/ObjectData2D.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/RectangularMatrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\ObjectData2D.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\ObjectData2D.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 33%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/ObjectData3D.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/RectangularMatrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix4.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\ObjectData3D.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\ObjectData3D.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 34%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/PhongMaterialData.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\PhongMaterialData.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\PhongMaterialData.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 34%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/SceneData.cpp.obj
[ 35%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Trade/TextureData.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/BoolVector.h:33:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Array.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\TextureData.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Trade\TextureData.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 36%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/RectangleTexture.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\RectangleTexture.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\RectangleTexture.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 37%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/BufferImage.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\BufferImage.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\BufferImage.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 37%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/TextureArray.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TextureArray.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TextureArray.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 38%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/TransformFeedback.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TransformFeedback.h:28,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TransformFeedback.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 39%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/TransformFeedbackState.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/TransformFeedback.h:28,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\TransformFeedbackState.cpp:5:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 39%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/DebugOutput.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugOutput.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugOutput.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 40%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/DebugState.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/DebugOutput.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\DebugState.h:31,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\DebugState.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 41%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/BufferTexture.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\BufferTexture.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\BufferTexture.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 42%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/CubeMapTextureArray.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\CubeMapTextureArray.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\CubeMapTextureArray.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 42%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/MultisampleTexture.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractTexture.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MultisampleTexture.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MultisampleTexture.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 43%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/AbstractQuery.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\AbstractQuery.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\AbstractQuery.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 44%] Building CXX object src/Magnum/CMakeFiles/Magnum.dir/Implementation/QueryState.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractQuery.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Implementation\QueryState.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 45%] Linking CXX shared library libMagnum.dll
[ 45%] Built target Magnum
Scanning dependencies of target MagnumSceneGraphObjects
[ 46%] Building CXX object src/Magnum/SceneGraph/CMakeFiles/MagnumSceneGraphObjects.dir/Animable.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/LinkedList.h:33,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/SceneGraph/AbstractFeature.h:33,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/SceneGraph/AbstractGroupedFeature.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\SceneGraph\Animable.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\SceneGraph\Animable.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 46%] Built target MagnumSceneGraphObjects
Scanning dependencies of target MagnumSceneGraph
[ 47%] Building CXX object src/Magnum/SceneGraph/CMakeFiles/MagnumSceneGraph.dir/instantiation.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/LinkedList.h:33,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/SceneGraph/AbstractFeature.h:33,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/SceneGraph/AbstractFeature.hpp:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\SceneGraph\instantiation.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 47%] Linking CXX shared library libMagnumSceneGraph.dll
[ 47%] Built target MagnumSceneGraph
Scanning dependencies of target MagnumPrimitives
[ 47%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Capsule.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Capsule.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 48%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Circle.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Functions.h:38,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Circle.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 49%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Crosshair.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Crosshair.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 49%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Cube.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Cube.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 50%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Cylinder.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Cylinder.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 51%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Icosphere.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Icosphere.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 52%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Line.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Line.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 52%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Plane.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Plane.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 53%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Square.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Square.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 54%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/UVSphere.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\UVSphere.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 55%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Implementation/Spheroid.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Functions.h:38,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Implementation\Spheroid.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 55%] Building CXX object src/Magnum/Primitives/CMakeFiles/MagnumPrimitives.dir/Implementation/WireframeSpheroid.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Functions.h:38,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Primitives\Implementation\WireframeSpheroid.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 56%] Linking CXX shared library libMagnumPrimitives.dll
[ 56%] Built target MagnumPrimitives
Scanning dependencies of target MagnumMeshToolsObjects
[ 57%] Building CXX object src/Magnum/MeshTools/CMakeFiles/MagnumMeshToolsObjects.dir/Compile.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\Compile.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\Compile.cpp:28:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:1447:8: warning: 'Magnum::Buffer::Buffer(Magnum::Buffer&&)' redeclared without dllimport attribute after being referenced with dll linkage
inline Buffer::Buffer(Buffer&& other) noexcept: _id{other._id}, _targetHint{other._targetHint},
^
[ 58%] Building CXX object src/Magnum/MeshTools/CMakeFiles/MagnumMeshToolsObjects.dir/FullScreenTriangle.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\FullScreenTriangle.cpp:29:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\FullScreenTriangle.cpp:29:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:1447:8: warning: 'Magnum::Buffer::Buffer(Magnum::Buffer&&)' redeclared without dllimport attribute after being referenced with dll linkage
inline Buffer::Buffer(Buffer&& other) noexcept: _id{other._id}, _targetHint{other._targetHint},
^
[ 59%] Building CXX object src/Magnum/MeshTools/CMakeFiles/MagnumMeshToolsObjects.dir/Tipsify.cpp.obj
[ 59%] Built target MagnumMeshToolsObjects
Scanning dependencies of target MagnumMeshTools
[ 59%] Building CXX object src/Magnum/MeshTools/CMakeFiles/MagnumMeshTools.dir/CombineIndexedArrays.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\CombineIndexedArrays.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\CombineIndexedArrays.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 60%] Building CXX object src/Magnum/MeshTools/CMakeFiles/MagnumMeshTools.dir/CompressIndices.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Mesh.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\CompressIndices.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\CompressIndices.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 61%] Building CXX object src/Magnum/MeshTools/CMakeFiles/MagnumMeshTools.dir/FlipNormals.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\FlipNormals.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 62%] Building CXX object src/Magnum/MeshTools/CMakeFiles/MagnumMeshTools.dir/GenerateFlatNormals.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\MeshTools\GenerateFlatNormals.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 62%] Linking CXX shared library libMagnumMeshTools.dll
[ 62%] Built target MagnumMeshTools
Scanning dependencies of target MagnumShaders_RCS-dependencies
[ 62%] Built target MagnumShaders_RCS-dependencies
[ 63%] Compiling data resource file C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum-build/src/Magnum/Shaders/resource_MagnumShaders_RCS.cpp
Scanning dependencies of target MagnumShaders
[ 64%] Building CXX object src/Magnum/Shaders/CMakeFiles/MagnumShaders.dir/AbstractVector.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractShaderProgram.h:34,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Shaders/Generic.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\AbstractVector.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\AbstractVector.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 64%] Building CXX object src/Magnum/Shaders/CMakeFiles/MagnumShaders.dir/DistanceFieldVector.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Functions.h:38,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Color.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\DistanceFieldVector.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\DistanceFieldVector.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 65%] Building CXX object src/Magnum/Shaders/CMakeFiles/MagnumShaders.dir/Flat.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Functions.h:38,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Color.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\Flat.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\Flat.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 66%] Building CXX object src/Magnum/Shaders/CMakeFiles/MagnumShaders.dir/MeshVisualizer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/AbstractShaderProgram.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\MeshVisualizer.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\MeshVisualizer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 67%] Building CXX object src/Magnum/Shaders/CMakeFiles/MagnumShaders.dir/Phong.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Functions.h:38,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Color.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\Phong.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\Phong.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 67%] Building CXX object src/Magnum/Shaders/CMakeFiles/MagnumShaders.dir/Vector.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Functions.h:38,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Color.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\Vector.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\Vector.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 68%] Building CXX object src/Magnum/Shaders/CMakeFiles/MagnumShaders.dir/VertexColor.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Functions.h:38,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Color.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\VertexColor.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shaders\VertexColor.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 69%] Building CXX object src/Magnum/Shaders/CMakeFiles/MagnumShaders.dir/resource_MagnumShaders_RCS.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Resource.h:37,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum-build\src\Magnum\Shaders\resource_MagnumShaders_RCS.cpp:5:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 70%] Linking CXX shared library libMagnumShaders.dll
[ 70%] Built target MagnumShaders
Scanning dependencies of target MagnumShapes
[ 70%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/AbstractShape.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/LinkedList.h:33,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/SceneGraph/AbstractFeature.h:33,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/SceneGraph/AbstractGroupedFeature.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\AbstractShape.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\AbstractShape.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 71%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/AxisAlignedBox.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\AxisAlignedBox.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\AxisAlignedBox.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 72%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Box.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/RectangularMatrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Matrix3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Box.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Box.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 72%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Capsule.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Capsule.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Capsule.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 73%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Cylinder.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Cylinder.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Cylinder.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 74%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Composition.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Composition.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Composition.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 75%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Line.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Line.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Line.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 75%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Plane.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Plane.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Plane.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 76%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Point.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Point.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Point.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 77%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Shape.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/LinkedList.h:33,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/SceneGraph/AbstractFeature.h:33,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/SceneGraph/AbstractGroupedFeature.h:34,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Shapes/AbstractShape.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Shape.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Shape.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 78%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/ShapeGroup.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/SceneGraph/FeatureGroup.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\ShapeGroup.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\ShapeGroup.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 78%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Sphere.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Sphere.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Sphere.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 79%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/shapeImplementation.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\shapeImplementation.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\shapeImplementation.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 80%] Building CXX object src/Magnum/Shapes/CMakeFiles/MagnumShapes.dir/Implementation/CollisionDispatch.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Shapes/AxisAlignedBox.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Shapes\Implementation\CollisionDispatch.cpp:28:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 80%] Linking CXX shared library libMagnumShapes.dll
[ 80%] Built target MagnumShapes
Scanning dependencies of target MagnumDebugTools
[ 80%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/ForceRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ForceRenderer.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ForceRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ForceRenderer.cpp:28:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:1447:8: warning: 'Magnum::Buffer::Buffer(Magnum::Buffer&&)' redeclared without dllimport attribute after being referenced with dll linkage
inline Buffer::Buffer(Buffer&& other) noexcept: _id{other._id}, _targetHint{other._targetHint},
^
[ 81%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/ObjectRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ObjectRenderer.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ObjectRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ObjectRenderer.cpp:28:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:1447:8: warning: 'Magnum::Buffer::Buffer(Magnum::Buffer&&)' redeclared without dllimport attribute after being referenced with dll linkage
inline Buffer::Buffer(Buffer&& other) noexcept: _id{other._id}, _targetHint{other._targetHint},
^
[ 82%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Profiler.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Profiler.cpp:30:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 82%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/ResourceManager.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/ResourceManager.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ResourceManager.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ResourceManager.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ResourceManager.cpp:29:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:1447:8: warning: 'Magnum::Buffer::Buffer(Magnum::Buffer&&)' redeclared without dllimport attribute after being referenced with dll linkage
inline Buffer::Buffer(Buffer&& other) noexcept: _id{other._id}, _targetHint{other._targetHint},
^
[ 83%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/ShapeRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ShapeRenderer.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\ShapeRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 84%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Implementation/AbstractBoxRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractBoxRenderer.h:28,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractBoxRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 85%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Implementation/AbstractShapeRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.cpp:29:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:1447:8: warning: 'Magnum::Buffer::Buffer(Magnum::Buffer&&)' redeclared without dllimport attribute after being referenced with dll linkage
inline Buffer::Buffer(Buffer&& other) noexcept: _id{other._id}, _targetHint{other._targetHint},
^
[ 85%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Implementation/AxisAlignedBoxRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractBoxRenderer.h:28,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AxisAlignedBoxRenderer.h:30,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AxisAlignedBoxRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 86%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Implementation/BoxRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractBoxRenderer.h:28,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\BoxRenderer.h:30,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\BoxRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 87%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Implementation/CapsuleRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\CapsuleRenderer.h:30,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\CapsuleRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 88%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Implementation/CylinderRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\CylinderRenderer.h:30,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\CylinderRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 88%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Implementation/LineSegmentRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\LineSegmentRenderer.h:30,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\LineSegmentRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 89%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Implementation/PointRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\PointRenderer.h:30,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\PointRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 90%] Building CXX object src/Magnum/DebugTools/CMakeFiles/MagnumDebugTools.dir/Implementation/SphereRenderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Resource.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\AbstractShapeRenderer.h:29,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\SphereRenderer.h:30,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\DebugTools\Implementation\SphereRenderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 91%] Linking CXX shared library libMagnumDebugTools.dll
[ 91%] Built target MagnumDebugTools
Scanning dependencies of target MagnumTextureTools_RCS-dependencies
[ 91%] Built target MagnumTextureTools_RCS-dependencies
[ 92%] Compiling data resource file C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum-build/src/Magnum/TextureTools/resource_MagnumTextureTools_RCS.cpp
Scanning dependencies of target MagnumTextureTools
[ 92%] Building CXX object src/Magnum/TextureTools/CMakeFiles/MagnumTextureTools.dir/Atlas.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TextureTools\Atlas.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TextureTools\Atlas.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 93%] Building CXX object src/Magnum/TextureTools/CMakeFiles/MagnumTextureTools.dir/DistanceField.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TextureTools\DistanceField.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TextureTools\DistanceField.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TextureTools\DistanceField.cpp:32:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:1447:8: warning: 'Magnum::Buffer::Buffer(Magnum::Buffer&&)' redeclared without dllimport attribute after being referenced with dll linkage
inline Buffer::Buffer(Buffer&& other) noexcept: _id{other._id}, _targetHint{other._targetHint},
^
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\TextureTools\DistanceField.cpp:35:0:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Framebuffer.h:790:8: warning: 'Magnum::Framebuffer::Framebuffer(Magnum::Framebuffer&&)' redeclared without dllimport attribute after being referenced with dll linkage
inline Framebuffer::Framebuffer(Framebuffer&& other) noexcept {
^
[ 94%] Building CXX object src/Magnum/TextureTools/CMakeFiles/MagnumTextureTools.dir/resource_MagnumTextureTools_RCS.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Resource.h:37,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum-build\src\Magnum\TextureTools\resource_MagnumTextureTools_RCS.cpp:5:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 95%] Linking CXX shared library libMagnumTextureTools.dll
[ 95%] Built target MagnumTextureTools
Scanning dependencies of target MagnumText
[ 96%] Building CXX object src/Magnum/Text/CMakeFiles/MagnumText.dir/AbstractFont.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractManager.h:42:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractPlugin.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\AbstractFont.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\AbstractFont.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 97%] Building CXX object src/Magnum/Text/CMakeFiles/MagnumText.dir/AbstractFontConverter.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractManager.h:42:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractPlugin.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\AbstractFontConverter.h:33,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\AbstractFontConverter.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 98%] Building CXX object src/Magnum/Text/CMakeFiles/MagnumText.dir/DistanceFieldGlyphCache.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Range.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Text/GlyphCache.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\DistanceFieldGlyphCache.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\DistanceFieldGlyphCache.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 98%] Building CXX object src/Magnum/Text/CMakeFiles/MagnumText.dir/GlyphCache.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Range.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\GlyphCache.h:35,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\GlyphCache.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 99%] Building CXX object src/Magnum/Text/CMakeFiles/MagnumText.dir/Renderer.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector2.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Vector3.h:32,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Math/Range.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\Renderer.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\Renderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
In file included from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\Renderer.h:37:0,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\Magnum-prefix\src\Magnum\src\Magnum\Text\Renderer.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/Magnum-prefix/src/Magnum/src/Magnum/Buffer.h:1447:8: warning: 'Magnum::Buffer::Buffer(Magnum::Buffer&&)' redeclared without dllimport attribute after being referenced with dll linkage
inline Buffer::Buffer(Buffer&& other) noexcept: _id{other._id}, _targetHint{other._targetHint},
^
[100%] Linking CXX shared library libMagnumText.dll
[100%] Built target MagnumText
[ 46%] Performing install step for 'Magnum'
[ 0%] Built target MagnumFlextGLObjects
[ 2%] Built target MagnumMathObjects
[ 45%] Built target Magnum
[ 46%] Built target MagnumSceneGraphObjects
[ 47%] Built target MagnumSceneGraph
[ 56%] Built target MagnumPrimitives
[ 59%] Built target MagnumMeshToolsObjects
[ 62%] Built target MagnumMeshTools
[ 62%] Built target MagnumShaders_RCS-dependencies
[ 70%] Built target MagnumShaders
[ 80%] Built target MagnumShapes
[ 91%] Built target MagnumDebugTools
[ 91%] Built target MagnumTextureTools_RCS-dependencies
[ 95%] Built target MagnumTextureTools
[100%] Built target MagnumText
Install the project...
-- Install configuration: ""
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/share/cmake/Magnum/FindMagnum.cmake
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/share/cmake/Magnum/MagnumConfig.cmake
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/MagnumExternal/OpenGL/GL/flextGL.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/MagnumExternal/Optional/optional.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnum.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libMagnum.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/AbstractFramebuffer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/AbstractObject.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/AbstractResourceLoader.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/AbstractShaderProgram.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/AbstractTexture.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Array.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Attribute.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Buffer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Context.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/CubeMapTexture.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DefaultFramebuffer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DimensionTraits.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Extensions.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Framebuffer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Image.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/ImageView.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Magnum.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Mesh.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshView.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/OpenGL.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/PixelFormat.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/PixelStorage.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Renderbuffer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/RenderbufferFormat.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Renderer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Resource.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/ResourceManager.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/ResourceManager.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Sampler.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shader.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Tags.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Texture.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/TextureFormat.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Timeline.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Types.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Version.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Color.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/ColorFormat.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/ImageReference.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/RectangleTexture.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/BufferImage.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/PrimitiveQuery.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/TextureArray.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/TransformFeedback.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DebugOutput.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/TimeQuery.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/BufferTexture.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/BufferTextureFormat.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/CubeMapTextureArray.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MultisampleTexture.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DebugMessage.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/AbstractQuery.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SampleQuery.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Query.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/configure.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Angle.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/BoolVector.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Color.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Complex.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Constants.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Dual.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/DualComplex.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/DualQuaternion.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Functions.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Math.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/TypeTraits.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Matrix.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Matrix3.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Matrix4.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Quaternion.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Range.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/RectangularMatrix.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Swizzle.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Tags.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Unit.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Vector.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Vector2.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Vector3.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Vector4.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Algorithms/GaussJordan.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Algorithms/GramSchmidt.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Algorithms/Svd.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Geometry/Distance.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Math/Geometry/Intersection.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Platform/Context.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Platform/Platform.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Platform/Screen.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Platform/ScreenedApplication.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Platform/ScreenedApplication.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/AbstractImporter.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/AbstractImageConverter.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/AbstractMaterialData.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/CameraData.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/ImageData.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/LightData.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/MeshData2D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/MeshData3D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/MeshObjectData2D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/MeshObjectData3D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/ObjectData2D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/ObjectData3D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/PhongMaterialData.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/SceneData.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/TextureData.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Trade/Trade.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnumDebugTools.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libMagnumDebugTools.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DebugTools/ForceRenderer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DebugTools/DebugTools.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DebugTools/ObjectRenderer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DebugTools/Profiler.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DebugTools/ResourceManager.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DebugTools/ShapeRenderer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/DebugTools/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnumMeshTools.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libMagnumMeshTools.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/CombineIndexedArrays.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/Compile.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/CompressIndices.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/Duplicate.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/FlipNormals.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/FullScreenTriangle.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/GenerateFlatNormals.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/Interleave.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/RemoveDuplicates.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/Subdivide.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/Tipsify.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/Transform.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/MeshTools/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnumPrimitives.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libMagnumPrimitives.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/Capsule.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/Circle.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/Crosshair.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/Cube.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/Cylinder.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/Icosphere.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/Line.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/Plane.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/Square.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/UVSphere.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Primitives/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnumSceneGraph.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libMagnumSceneGraph.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractFeature.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractFeature.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractGroupedFeature.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractObject.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractTransformation.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractTranslation.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractTranslationRotation2D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractTranslationRotation3D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractTranslationRotationScaling2D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractTranslationRotationScaling3D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Animable.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Animable.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AnimableGroup.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Camera.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Camera.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Drawable.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Drawable.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/DualComplexTransformation.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/DualQuaternionTransformation.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/RigidMatrixTransformation2D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/RigidMatrixTransformation3D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/FeatureGroup.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/FeatureGroup.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/MatrixTransformation2D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/MatrixTransformation3D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Object.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Object.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Scene.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/SceneGraph.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/TranslationTransformation.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractCamera.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/AbstractCamera.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Camera2D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Camera2D.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Camera3D.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/SceneGraph/Camera3D.hpp
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnumShaders.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libMagnumShaders.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/DistanceFieldVector.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/AbstractVector.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/Flat.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/Generic.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/MeshVisualizer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/Phong.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/Shaders.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/Vector.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/VertexColor.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shaders/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnumShapes.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libMagnumShapes.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/AbstractShape.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/AxisAlignedBox.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Box.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Capsule.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Cylinder.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Collision.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Composition.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Line.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/LineSegment.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Shape.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/ShapeGroup.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Shapes.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Plane.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Point.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/Sphere.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/shapeImplementation.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Shapes/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnumText.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libMagnumText.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Text/AbstractFont.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Text/AbstractFontConverter.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Text/Alignment.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Text/DistanceFieldGlyphCache.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Text/GlyphCache.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Text/Renderer.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Text/Text.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/Text/visibility.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnumTextureTools.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/bin/libMagnumTextureTools.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/TextureTools/Atlas.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/TextureTools/DistanceField.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Magnum/TextureTools/visibility.h
[ 50%] Completed 'Magnum'
[ 50%] Built target Magnum
Scanning dependencies of target MagnumPlugins
[ 53%] Creating directories for 'MagnumPlugins'
[ 56%] Performing download step (git clone) for 'MagnumPlugins'
Cloning into 'MagnumPlugins'...
Your branch is up-to-date with 'origin/master'.
Already on 'master'
Submodule 'toolchains' (git://github.com/mosra/toolchains.git) registered for path 'toolchains'
Cloning into 'toolchains'...
Submodule path 'toolchains': checked out 'a4683ed5662754a6498e64f30064b9f118f78285'
[ 59%] No patch step for 'MagnumPlugins'
[ 62%] Performing update step for 'MagnumPlugins'
Current branch master is up to date.
[ 65%] Performing configure step for 'MagnumPlugins'
-- The C compiler identification is GNU 5.2.0
-- The CXX compiler identification is GNU 5.2.0
-- Check for working C compiler: C:/MinGW/bin/gcc.exe
-- Check for working C compiler: C:/MinGW/bin/gcc.exe -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- Found Corrade: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libCorradeUtility.dll.a
-- Found OpenGL: opengl32
-- Found Magnum: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnum.dll.a
-- LIB_SUFFIX variable is not defined. It will be autodetected now.
-- You can set it manually with -DLIB_SUFFIX=<value> (64 for example)
-- LIB_SUFFIX autodetected as '', libraries will be installed into C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib
-- Found Magnum: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnum.dll.a found components: TextureTools Text
-- Found Freetype: C:/Users/LB/Code/SFML-install/lib/libfreetype.a (found version "2.6.0")
-- Configuring done
-- Generating done
-- Build files have been written to: C:/Users/LB/GitHub/magnum-plugins-bug/build/MagnumPlugins-prefix/src/MagnumPlugins-build
[ 68%] Performing build step for 'MagnumPlugins'
Scanning dependencies of target FreeTypeFontObjects
[ 33%] Building CXX object src/MagnumPlugins/FreeTypeFont/CMakeFiles/FreeTypeFontObjects.dir/FreeTypeFont.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\MagnumPlugins-prefix\src\MagnumPlugins\src\MagnumPlugins\FreeTypeFont\FreeTypeFont.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\MagnumPlugins-prefix\src\MagnumPlugins\src\MagnumPlugins\FreeTypeFont\FreeTypeFont.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[ 33%] Built target FreeTypeFontObjects
Scanning dependencies of target FreeTypeFont
[ 66%] Building CXX object src/MagnumPlugins/FreeTypeFont/CMakeFiles/FreeTypeFont.dir/pluginRegistration.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Assert.h:35:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/ArrayView.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Containers/Array.h:36,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/MagnumPlugins-prefix/src/MagnumPlugins/src/MagnumPlugins/FreeTypeFont/FreeTypeFont.h:32,
from C:\Users\LB\GitHub\magnum-plugins-bug\build\MagnumPlugins-prefix\src\MagnumPlugins\src\MagnumPlugins\FreeTypeFont\pluginRegistration.cpp:26:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[100%] Linking CXX shared library FreeTypeFont.dll
[100%] Built target FreeTypeFont
[ 71%] Performing install step for 'MagnumPlugins'
[ 33%] Built target FreeTypeFontObjects
[100%] Built target FreeTypeFont
Install the project...
-- Install configuration: ""
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/share/cmake/MagnumPlugins/FindMagnumPlugins.cmake
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/share/cmake/MagnumPlugins/MagnumPluginsConfig.cmake
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/magnum/fonts/libFreeTypeFont.dll.a
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/magnum/fonts/FreeTypeFont.dll
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/magnum/fonts/FreeTypeFont.conf
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/MagnumPlugins/FreeTypeFont/FreeTypeFont.h
-- Installing: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/MagnumPlugins/FreeTypeFont/configure.h
[ 75%] Completed 'MagnumPlugins'
[ 75%] Built target MagnumPlugins
Scanning dependencies of target magnum-plugins-bug
[ 78%] Creating directories for 'magnum-plugins-bug'
[ 81%] No download step for 'magnum-plugins-bug'
[ 84%] No patch step for 'magnum-plugins-bug'
[ 87%] No update step for 'magnum-plugins-bug'
[ 90%] Performing configure step for 'magnum-plugins-bug'
-- The C compiler identification is GNU 5.2.0
-- The CXX compiler identification is GNU 5.2.0
-- Check for working C compiler: C:/MinGW/bin/gcc.exe
-- Check for working C compiler: C:/MinGW/bin/gcc.exe -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe
-- Check for working CXX compiler: C:/MinGW/bin/g++.exe -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- Found Corrade: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libCorradeUtility.dll.a
-- Found OpenGL: opengl32
-- Found Magnum: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib/libMagnum.dll.a found components: TextureTools Text
-- LIB_SUFFIX variable is not defined. It will be autodetected now.
-- You can set it manually with -DLIB_SUFFIX=<value> (64 for example)
-- LIB_SUFFIX autodetected as '', libraries will be installed into C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/lib
-- Found Freetype: C:/Users/LB/Code/SFML-install/lib/libfreetype.a (found version "2.6.0")
-- Found MagnumPlugins: C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/MagnumPlugins found components: FreeTypeFont
-- MAGNUMPLUGINS_FreeTypeFont_LIBRARIES=
-- Configuring done
-- Generating done
-- Build files have been written to: C:/Users/LB/GitHub/magnum-plugins-bug/build/magnum-plugins-bug-prefix/src/magnum-plugins-bug-build
[ 93%] Performing build step for 'magnum-plugins-bug'
Scanning dependencies of target magnum-plugins-bug
[ 50%] Building CXX object CMakeFiles/magnum-plugins-bug.dir/Main.cpp.obj
In file included from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/AbstractManager.h:42:0,
from C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/PluginManager/Manager.h:34,
from C:\Users\LB\GitHub\magnum-plugins-bug\src\Main.cpp:3:
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:289:8: warning: 'Corrade::Utility::Debug::Debug(std::ostream*)' redeclared without dllimport attribute after being referenced with dll linkage
inline Debug::Debug(std::ostream* output): _output{output}, _flags{Flag::NoSpaceBeforeNextValue} {}
^
C:/Users/LB/GitHub/magnum-plugins-bug/build/deps-install/include/Corrade/Utility/Debug.h:291:13: warning: 'static void Corrade::Utility::Debug::nospace(Corrade::Utility::Debug&)' redeclared without dllimport attribute after being referenced with dll linkage
inline void Debug::nospace(Debug& debug) {
^
[100%] Linking CXX executable magnum-plugins-bug.exe
[100%] Built target magnum-plugins-bug
[ 96%] No install step for 'magnum-plugins-bug'
[100%] Completed 'magnum-plugins-bug'
[100%] Built target magnum-plugins-bug
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment