Skip to content

Instantly share code, notes, and snippets.

View ScottMansfield's full-sized avatar

Scott Mansfield ScottMansfield

View GitHub Profile

Keybase proof

I hereby claim:

  • I am scottmansfield on github.
  • I am paintballbob (https://keybase.io/paintballbob) on keybase.
  • I have a public key ASCZH7KDA2-QEHw7iysJtDEFXbUrPn5qyP3iJXHXd6YrEQo

To claim this, I am signing this object:

@ScottMansfield
ScottMansfield / twitter.go
Created October 25, 2016 03:35
Twitter search utility
package main
import (
"flag"
"fmt"
"log"
"net/url"
"os"
"os/signal"
"regexp"
library ieee;
use ieee.std_logic_1164.all;
entity button_to_led is
port (
btn_in: in std_logic_vector(3 downto 0);
led_out: out std_logic_vector(3 downto 0)
);
end button_to_led;