I hereby claim:
- I am scottmansfield on github.
- I am paintballbob (https://keybase.io/paintballbob) on keybase.
- I have a public key ASCZH7KDA2-QEHw7iysJtDEFXbUrPn5qyP3iJXHXd6YrEQo
To claim this, I am signing this object:
I hereby claim:
To claim this, I am signing this object:
package main | |
import ( | |
"flag" | |
"fmt" | |
"log" | |
"net/url" | |
"os" | |
"os/signal" | |
"regexp" |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
entity blink is | |
port ( | |
clk: in std_logic; | |
buttons: in std_logic_vector(3 downto 0); | |
leds: out std_logic_vector(3 downto 0) | |
); |