Skip to content

Instantly share code, notes, and snippets.

@ZipCPU
Created September 14, 2021 15:47
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save ZipCPU/1a12ec4ca54a25ea59ee2764fa2d1ee9 to your computer and use it in GitHub Desktop.
Save ZipCPU/1a12ec4ca54a25ea59ee2764fa2d1ee9 to your computer and use it in GitHub Desktop.
Running CPU self-test
-----------------------------------
SIM Instructions Is this a simulator?
CIS Instructions Supported
Break test #1 Pass
Break test #2 Pass
Break test #3 Pass
Early Branch test Pass
Trap test/AND Pass
Trap test/CLR Pass
Overflow test Pass
Carry test Pass
Loop test Pass
Shift test Pass
Pipeline test Pass
Mem-Pipeline test Pass
Conditional Execution test Pass
No-waiting pipeline test Pass
Conditional Branching test Pass
Ill Instruction test, NULL PC Pass
Ill Instruction test, two Pass
Comparison test, == Pass
Comparison test, != Pass
CC Register test Pass
Multi-Arg test Pass
Multiply test Pass
Multiply HI-word test Pass
Divide test Pass
-----------------------------------
All tests passed. Halting CPU.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment