Skip to content

Instantly share code, notes, and snippets.

@cfelton
Created November 5, 2014 14:44
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save cfelton/0792c5823d418afee604 to your computer and use it in GitHub Desktop.
Save cfelton/0792c5823d418afee604 to your computer and use it in GitHub Desktop.
def VHDL_ENTITY(clock, reset, x, y, z):
z.driven = True
@always(clock, reset, x, y)
def logic():
pass
return logic
VHDL_ENTITY.vhdl_instance = "VHDL_INSTANCE_NAME"
def m_top(clock, reset, x, y, z):
g = VHDL_ENTITY(clock, reset, x, y, z)
return g
clock = Signal(bool(0))
reset = ResetSignal(0, 0, True)
x,y,z = [Signal(intbv(0)[8:]) for _ in range(3)]
toVHDL(m_top, clock, reset, x, y, z)
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment