Skip to content

Instantly share code, notes, and snippets.

@davilamds
Last active August 29, 2015 14:00
Show Gist options
  • Save davilamds/11066940 to your computer and use it in GitHub Desktop.
Save davilamds/11066940 to your computer and use it in GitHub Desktop.
library ieee;
use ieee.std_logic_1164.all;
entity eq1 is
port(
i0 , i1: in std_logic;
eq: out std_logic);
end eq1;
architecture sop_arch of eq1 is
signal p0 , p1 : std_logic;
begin
eq <= p0 or p1;
p0<=(not i0) and (not i1);
p1 <= i0 and i1;
end sop_arch;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment