This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
var td:TypeDescriptor = new TypeDescriptor(); | |
var s:String = getQualifiedClassName(_classType); | |
var xml:XML = describeType(s); | |
var t2:TypeDescriptor = td.fromXML(xml, loaderInfo.applicationDomain); | |
// t2.description.extendsClass.type == "Object" | |
// t2.superClasses == ["Object"] |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
override public function areObjectsEqual(accountVO1:AdminDataVO, accountVO2:AdminDataVO):Boolean | |
{ | |
var result:Boolean = false; | |
if( (accountVO1.password == accountVO2.password ) && | |
(accountVO1.email == accountVO2.email ) && | |
(accountVO1.serverID == accountVO2.serverID ) && | |
(accountVO1.dataSetID == accountVO2.dataSetID ) && | |
(accountVO1.pluginMixID == accountVO2.pluginMixID ) && | |
(accountVO1.adminPluginMixID == accountVO2.adminPluginMixID ) && |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
private function compareData(editedVO:AdminDataVO):void | |
{ | |
var currentStoredVO:AdminDataVO = editingModel.itemBeingEdited; | |
if(!comparator.areObjectsEqual(currentStoredVO, editedVO)) | |
{ | |
var keepHandler:Function = function():void { editingModel.updateItem(editedVO) }; | |
var discardHandler:Function = function():void {}; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
using System; | |
using System.Collections.Generic; | |
using System.Linq; | |
using System.Text; | |
using DDW.V2D; | |
using V2DRuntime.Attributes; | |
using Microsoft.Xna.Framework.Graphics; | |
using HeadGame.Screens; | |
using DDW.Display; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
// move all elements selected in the library to 0,0 | |
fl.outputPanel.clear(); | |
var trace = fl.trace; | |
fl.showIdleMessage(false); | |
var doc = fl.getDocumentDOM(); | |
var lib = doc.library; | |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
package | |
{ | |
import Components.KeypadButton; | |
import DDW.Components.DefaultButton; | |
import DDW.Screens.Screen; | |
import flash.display.DisplayObject; | |
import flash.display.Sprite; | |
public class Calculator extends Screen | |
{ | |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
void loop() | |
{ | |
if (Serial.available()) | |
{ | |
char ch = Serial.read(); | |
switch(ch) { | |
case '0'...'9': | |
serialReadNum = serialReadNum * 10 + ch - '0'; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <AFMotor.h> | |
#include <ServoTimer1.h> | |
//#define DEBUG 1 | |
int analogPin = 0; | |
int sensorPin = 2; | |
int servo1Pin = 9; | |
AF_Stepper motorX(48, 2); |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#define TEST | |
using System; | |
using System.Collections.Generic; | |
using System.Linq; | |
using System.Text; | |
namespace ConsoleApplication1 | |
{ | |
public class Program |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
-- File: Blink.VHD ------- | |
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
use IEEE.STD_LOGIC_ARITH.ALL; | |
use IEEE.STD_LOGIC_UNSIGNED.ALL; | |
entity Blink is | |
Port ( | |
PB : in STD_LOGIC_VECTOR(7 downto 0); |
OlderNewer