Skip to content

Instantly share code, notes, and snippets.

@enjoy-digital
Created September 10, 2018 13:51
Show Gist options
  • Save enjoy-digital/ab2fffb01ae838eaa62c60458e43fd72 to your computer and use it in GitHub Desktop.
Save enjoy-digital/ab2fffb01ae838eaa62c60458e43fd72 to your computer and use it in GitHub Desktop.
This file has been truncated, but you can view the full file.
/* Machine-generated using LiteX gen */
module top(
output reg serial_tx,
input serial_rx,
input clk100,
input cpu_reset,
output eth_ref_clk,
output [13:0] ddram_a,
output [2:0] ddram_ba,
output ddram_ras_n,
output ddram_cas_n,
output ddram_we_n,
output ddram_cs_n,
output [1:0] ddram_dm,
inout [15:0] ddram_dq,
output [1:0] ddram_dqs_p,
output [1:0] ddram_dqs_n,
output ddram_clk_p,
output ddram_clk_n,
output ddram_cke,
output ddram_odt,
output ddram_reset_n
);
wire basesoc_ctrl_reset_reset_re;
wire basesoc_ctrl_reset_reset_r;
reg basesoc_ctrl_reset_reset_w = 1'd0;
reg [31:0] basesoc_ctrl_storage_full = 32'd305419896;
wire [31:0] basesoc_ctrl_storage;
reg basesoc_ctrl_re = 1'd0;
wire [31:0] basesoc_ctrl_bus_errors_status;
wire basesoc_ctrl_reset;
wire basesoc_ctrl_bus_error;
reg [31:0] basesoc_ctrl_bus_errors = 32'd0;
wire basesoc_lm32_reset;
wire [29:0] basesoc_lm32_ibus_adr;
wire [31:0] basesoc_lm32_ibus_dat_w;
wire [31:0] basesoc_lm32_ibus_dat_r;
wire [3:0] basesoc_lm32_ibus_sel;
wire basesoc_lm32_ibus_cyc;
wire basesoc_lm32_ibus_stb;
wire basesoc_lm32_ibus_ack;
wire basesoc_lm32_ibus_we;
wire [2:0] basesoc_lm32_ibus_cti;
wire [1:0] basesoc_lm32_ibus_bte;
wire basesoc_lm32_ibus_err;
wire [29:0] basesoc_lm32_dbus_adr;
wire [31:0] basesoc_lm32_dbus_dat_w;
wire [31:0] basesoc_lm32_dbus_dat_r;
wire [3:0] basesoc_lm32_dbus_sel;
wire basesoc_lm32_dbus_cyc;
wire basesoc_lm32_dbus_stb;
wire basesoc_lm32_dbus_ack;
wire basesoc_lm32_dbus_we;
wire [2:0] basesoc_lm32_dbus_cti;
wire [1:0] basesoc_lm32_dbus_bte;
wire basesoc_lm32_dbus_err;
reg [31:0] basesoc_lm32_interrupt = 32'd0;
wire [31:0] basesoc_lm32_i_adr_o;
wire [31:0] basesoc_lm32_d_adr_o;
wire [29:0] basesoc_rom_bus_adr;
wire [31:0] basesoc_rom_bus_dat_w;
wire [31:0] basesoc_rom_bus_dat_r;
wire [3:0] basesoc_rom_bus_sel;
wire basesoc_rom_bus_cyc;
wire basesoc_rom_bus_stb;
reg basesoc_rom_bus_ack = 1'd0;
wire basesoc_rom_bus_we;
wire [2:0] basesoc_rom_bus_cti;
wire [1:0] basesoc_rom_bus_bte;
reg basesoc_rom_bus_err = 1'd0;
wire [12:0] basesoc_rom_adr;
wire [31:0] basesoc_rom_dat_r;
wire [29:0] basesoc_sram_bus_adr;
wire [31:0] basesoc_sram_bus_dat_w;
wire [31:0] basesoc_sram_bus_dat_r;
wire [3:0] basesoc_sram_bus_sel;
wire basesoc_sram_bus_cyc;
wire basesoc_sram_bus_stb;
reg basesoc_sram_bus_ack = 1'd0;
wire basesoc_sram_bus_we;
wire [2:0] basesoc_sram_bus_cti;
wire [1:0] basesoc_sram_bus_bte;
reg basesoc_sram_bus_err = 1'd0;
wire [12:0] basesoc_sram_adr;
wire [31:0] basesoc_sram_dat_r;
reg [3:0] basesoc_sram_we = 4'd0;
wire [31:0] basesoc_sram_dat_w;
reg [13:0] basesoc_interface_adr = 14'd0;
reg basesoc_interface_we = 1'd0;
reg [7:0] basesoc_interface_dat_w = 8'd0;
wire [7:0] basesoc_interface_dat_r;
wire [29:0] basesoc_bus_wishbone_adr;
wire [31:0] basesoc_bus_wishbone_dat_w;
reg [31:0] basesoc_bus_wishbone_dat_r = 32'd0;
wire [3:0] basesoc_bus_wishbone_sel;
wire basesoc_bus_wishbone_cyc;
wire basesoc_bus_wishbone_stb;
reg basesoc_bus_wishbone_ack = 1'd0;
wire basesoc_bus_wishbone_we;
wire [2:0] basesoc_bus_wishbone_cti;
wire [1:0] basesoc_bus_wishbone_bte;
reg basesoc_bus_wishbone_err = 1'd0;
reg [1:0] basesoc_counter = 2'd0;
reg [31:0] basesoc_uart_phy_storage_full = 32'd4947802;
wire [31:0] basesoc_uart_phy_storage;
reg basesoc_uart_phy_re = 1'd0;
wire basesoc_uart_phy_sink_valid;
reg basesoc_uart_phy_sink_ready = 1'd0;
wire basesoc_uart_phy_sink_first;
wire basesoc_uart_phy_sink_last;
wire [7:0] basesoc_uart_phy_sink_payload_data;
reg basesoc_uart_phy_uart_clk_txen = 1'd0;
reg [31:0] basesoc_uart_phy_phase_accumulator_tx = 32'd0;
reg [7:0] basesoc_uart_phy_tx_reg = 8'd0;
reg [3:0] basesoc_uart_phy_tx_bitcount = 4'd0;
reg basesoc_uart_phy_tx_busy = 1'd0;
reg basesoc_uart_phy_source_valid = 1'd0;
wire basesoc_uart_phy_source_ready;
reg basesoc_uart_phy_source_first = 1'd0;
reg basesoc_uart_phy_source_last = 1'd0;
reg [7:0] basesoc_uart_phy_source_payload_data = 8'd0;
reg basesoc_uart_phy_uart_clk_rxen = 1'd0;
reg [31:0] basesoc_uart_phy_phase_accumulator_rx = 32'd0;
wire basesoc_uart_phy_rx;
reg basesoc_uart_phy_rx_r = 1'd0;
reg [7:0] basesoc_uart_phy_rx_reg = 8'd0;
reg [3:0] basesoc_uart_phy_rx_bitcount = 4'd0;
reg basesoc_uart_phy_rx_busy = 1'd0;
wire basesoc_uart_rxtx_re;
wire [7:0] basesoc_uart_rxtx_r;
wire [7:0] basesoc_uart_rxtx_w;
wire basesoc_uart_txfull_status;
wire basesoc_uart_rxempty_status;
wire basesoc_uart_irq;
wire basesoc_uart_tx_status;
reg basesoc_uart_tx_pending = 1'd0;
wire basesoc_uart_tx_trigger;
reg basesoc_uart_tx_clear = 1'd0;
reg basesoc_uart_tx_old_trigger = 1'd0;
wire basesoc_uart_rx_status;
reg basesoc_uart_rx_pending = 1'd0;
wire basesoc_uart_rx_trigger;
reg basesoc_uart_rx_clear = 1'd0;
reg basesoc_uart_rx_old_trigger = 1'd0;
wire basesoc_uart_status_re;
wire [1:0] basesoc_uart_status_r;
reg [1:0] basesoc_uart_status_w = 2'd0;
wire basesoc_uart_pending_re;
wire [1:0] basesoc_uart_pending_r;
reg [1:0] basesoc_uart_pending_w = 2'd0;
reg [1:0] basesoc_uart_storage_full = 2'd0;
wire [1:0] basesoc_uart_storage;
reg basesoc_uart_re = 1'd0;
wire basesoc_uart_tx_fifo_sink_valid;
wire basesoc_uart_tx_fifo_sink_ready;
reg basesoc_uart_tx_fifo_sink_first = 1'd0;
reg basesoc_uart_tx_fifo_sink_last = 1'd0;
wire [7:0] basesoc_uart_tx_fifo_sink_payload_data;
wire basesoc_uart_tx_fifo_source_valid;
wire basesoc_uart_tx_fifo_source_ready;
wire basesoc_uart_tx_fifo_source_first;
wire basesoc_uart_tx_fifo_source_last;
wire [7:0] basesoc_uart_tx_fifo_source_payload_data;
wire basesoc_uart_tx_fifo_syncfifo_we;
wire basesoc_uart_tx_fifo_syncfifo_writable;
wire basesoc_uart_tx_fifo_syncfifo_re;
wire basesoc_uart_tx_fifo_syncfifo_readable;
wire [9:0] basesoc_uart_tx_fifo_syncfifo_din;
wire [9:0] basesoc_uart_tx_fifo_syncfifo_dout;
reg [4:0] basesoc_uart_tx_fifo_level = 5'd0;
reg basesoc_uart_tx_fifo_replace = 1'd0;
reg [3:0] basesoc_uart_tx_fifo_produce = 4'd0;
reg [3:0] basesoc_uart_tx_fifo_consume = 4'd0;
reg [3:0] basesoc_uart_tx_fifo_wrport_adr = 4'd0;
wire [9:0] basesoc_uart_tx_fifo_wrport_dat_r;
wire basesoc_uart_tx_fifo_wrport_we;
wire [9:0] basesoc_uart_tx_fifo_wrport_dat_w;
wire basesoc_uart_tx_fifo_do_read;
wire [3:0] basesoc_uart_tx_fifo_rdport_adr;
wire [9:0] basesoc_uart_tx_fifo_rdport_dat_r;
wire [7:0] basesoc_uart_tx_fifo_fifo_in_payload_data;
wire basesoc_uart_tx_fifo_fifo_in_first;
wire basesoc_uart_tx_fifo_fifo_in_last;
wire [7:0] basesoc_uart_tx_fifo_fifo_out_payload_data;
wire basesoc_uart_tx_fifo_fifo_out_first;
wire basesoc_uart_tx_fifo_fifo_out_last;
wire basesoc_uart_rx_fifo_sink_valid;
wire basesoc_uart_rx_fifo_sink_ready;
wire basesoc_uart_rx_fifo_sink_first;
wire basesoc_uart_rx_fifo_sink_last;
wire [7:0] basesoc_uart_rx_fifo_sink_payload_data;
wire basesoc_uart_rx_fifo_source_valid;
wire basesoc_uart_rx_fifo_source_ready;
wire basesoc_uart_rx_fifo_source_first;
wire basesoc_uart_rx_fifo_source_last;
wire [7:0] basesoc_uart_rx_fifo_source_payload_data;
wire basesoc_uart_rx_fifo_syncfifo_we;
wire basesoc_uart_rx_fifo_syncfifo_writable;
wire basesoc_uart_rx_fifo_syncfifo_re;
wire basesoc_uart_rx_fifo_syncfifo_readable;
wire [9:0] basesoc_uart_rx_fifo_syncfifo_din;
wire [9:0] basesoc_uart_rx_fifo_syncfifo_dout;
reg [4:0] basesoc_uart_rx_fifo_level = 5'd0;
reg basesoc_uart_rx_fifo_replace = 1'd0;
reg [3:0] basesoc_uart_rx_fifo_produce = 4'd0;
reg [3:0] basesoc_uart_rx_fifo_consume = 4'd0;
reg [3:0] basesoc_uart_rx_fifo_wrport_adr = 4'd0;
wire [9:0] basesoc_uart_rx_fifo_wrport_dat_r;
wire basesoc_uart_rx_fifo_wrport_we;
wire [9:0] basesoc_uart_rx_fifo_wrport_dat_w;
wire basesoc_uart_rx_fifo_do_read;
wire [3:0] basesoc_uart_rx_fifo_rdport_adr;
wire [9:0] basesoc_uart_rx_fifo_rdport_dat_r;
wire [7:0] basesoc_uart_rx_fifo_fifo_in_payload_data;
wire basesoc_uart_rx_fifo_fifo_in_first;
wire basesoc_uart_rx_fifo_fifo_in_last;
wire [7:0] basesoc_uart_rx_fifo_fifo_out_payload_data;
wire basesoc_uart_rx_fifo_fifo_out_first;
wire basesoc_uart_rx_fifo_fifo_out_last;
reg basesoc_uart_reset = 1'd0;
reg [31:0] basesoc_timer0_load_storage_full = 32'd0;
wire [31:0] basesoc_timer0_load_storage;
reg basesoc_timer0_load_re = 1'd0;
reg [31:0] basesoc_timer0_reload_storage_full = 32'd0;
wire [31:0] basesoc_timer0_reload_storage;
reg basesoc_timer0_reload_re = 1'd0;
reg basesoc_timer0_en_storage_full = 1'd0;
wire basesoc_timer0_en_storage;
reg basesoc_timer0_en_re = 1'd0;
wire basesoc_timer0_update_value_re;
wire basesoc_timer0_update_value_r;
reg basesoc_timer0_update_value_w = 1'd0;
reg [31:0] basesoc_timer0_value_status = 32'd0;
wire basesoc_timer0_irq;
wire basesoc_timer0_zero_status;
reg basesoc_timer0_zero_pending = 1'd0;
wire basesoc_timer0_zero_trigger;
reg basesoc_timer0_zero_clear = 1'd0;
reg basesoc_timer0_zero_old_trigger = 1'd0;
wire basesoc_timer0_eventmanager_status_re;
wire basesoc_timer0_eventmanager_status_r;
wire basesoc_timer0_eventmanager_status_w;
wire basesoc_timer0_eventmanager_pending_re;
wire basesoc_timer0_eventmanager_pending_r;
wire basesoc_timer0_eventmanager_pending_w;
reg basesoc_timer0_eventmanager_storage_full = 1'd0;
wire basesoc_timer0_eventmanager_storage;
reg basesoc_timer0_eventmanager_re = 1'd0;
reg [31:0] basesoc_timer0_value = 32'd0;
wire [29:0] interface0_wb_sdram_adr;
wire [31:0] interface0_wb_sdram_dat_w;
reg [31:0] interface0_wb_sdram_dat_r = 32'd0;
wire [3:0] interface0_wb_sdram_sel;
wire interface0_wb_sdram_cyc;
wire interface0_wb_sdram_stb;
reg interface0_wb_sdram_ack = 1'd0;
wire interface0_wb_sdram_we;
wire [2:0] interface0_wb_sdram_cti;
wire [1:0] interface0_wb_sdram_bte;
reg interface0_wb_sdram_err = 1'd0;
wire sys_clk;
wire sys_rst;
wire sys4x_clk;
wire sys4x_dqs_clk;
wire clk200_clk;
wire clk200_rst;
wire clk50_clk;
wire clk50_rst;
wire pll_locked;
wire pll_fb;
wire pll_sys;
wire pll_sys4x;
wire pll_sys4x_dqs;
wire pll_clk200;
wire pll_clk50;
reg [3:0] reset_counter = 4'd15;
reg ic_reset = 1'd1;
wire eth_clk;
reg [3:0] a7ddrphy_half_sys8x_taps_storage_full = 4'd8;
wire [3:0] a7ddrphy_half_sys8x_taps_storage;
reg a7ddrphy_half_sys8x_taps_re = 1'd0;
reg [1:0] a7ddrphy_dly_sel_storage_full = 2'd0;
wire [1:0] a7ddrphy_dly_sel_storage;
reg a7ddrphy_dly_sel_re = 1'd0;
wire a7ddrphy_rdly_dq_rst_re;
wire a7ddrphy_rdly_dq_rst_r;
reg a7ddrphy_rdly_dq_rst_w = 1'd0;
wire a7ddrphy_rdly_dq_inc_re;
wire a7ddrphy_rdly_dq_inc_r;
reg a7ddrphy_rdly_dq_inc_w = 1'd0;
wire a7ddrphy_rdly_dq_bitslip_rst_re;
wire a7ddrphy_rdly_dq_bitslip_rst_r;
reg a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0;
wire a7ddrphy_rdly_dq_bitslip_re;
wire a7ddrphy_rdly_dq_bitslip_r;
reg a7ddrphy_rdly_dq_bitslip_w = 1'd0;
wire [13:0] a7ddrphy_dfi_p0_address;
wire [2:0] a7ddrphy_dfi_p0_bank;
wire a7ddrphy_dfi_p0_cas_n;
wire a7ddrphy_dfi_p0_cs_n;
wire a7ddrphy_dfi_p0_ras_n;
wire a7ddrphy_dfi_p0_we_n;
wire a7ddrphy_dfi_p0_cke;
wire a7ddrphy_dfi_p0_odt;
wire a7ddrphy_dfi_p0_reset_n;
wire [31:0] a7ddrphy_dfi_p0_wrdata;
wire a7ddrphy_dfi_p0_wrdata_en;
wire [3:0] a7ddrphy_dfi_p0_wrdata_mask;
wire a7ddrphy_dfi_p0_rddata_en;
reg [31:0] a7ddrphy_dfi_p0_rddata = 32'd0;
reg a7ddrphy_dfi_p0_rddata_valid = 1'd0;
wire [13:0] a7ddrphy_dfi_p1_address;
wire [2:0] a7ddrphy_dfi_p1_bank;
wire a7ddrphy_dfi_p1_cas_n;
wire a7ddrphy_dfi_p1_cs_n;
wire a7ddrphy_dfi_p1_ras_n;
wire a7ddrphy_dfi_p1_we_n;
wire a7ddrphy_dfi_p1_cke;
wire a7ddrphy_dfi_p1_odt;
wire a7ddrphy_dfi_p1_reset_n;
wire [31:0] a7ddrphy_dfi_p1_wrdata;
wire a7ddrphy_dfi_p1_wrdata_en;
wire [3:0] a7ddrphy_dfi_p1_wrdata_mask;
wire a7ddrphy_dfi_p1_rddata_en;
reg [31:0] a7ddrphy_dfi_p1_rddata = 32'd0;
reg a7ddrphy_dfi_p1_rddata_valid = 1'd0;
wire [13:0] a7ddrphy_dfi_p2_address;
wire [2:0] a7ddrphy_dfi_p2_bank;
wire a7ddrphy_dfi_p2_cas_n;
wire a7ddrphy_dfi_p2_cs_n;
wire a7ddrphy_dfi_p2_ras_n;
wire a7ddrphy_dfi_p2_we_n;
wire a7ddrphy_dfi_p2_cke;
wire a7ddrphy_dfi_p2_odt;
wire a7ddrphy_dfi_p2_reset_n;
wire [31:0] a7ddrphy_dfi_p2_wrdata;
wire a7ddrphy_dfi_p2_wrdata_en;
wire [3:0] a7ddrphy_dfi_p2_wrdata_mask;
wire a7ddrphy_dfi_p2_rddata_en;
reg [31:0] a7ddrphy_dfi_p2_rddata = 32'd0;
reg a7ddrphy_dfi_p2_rddata_valid = 1'd0;
wire [13:0] a7ddrphy_dfi_p3_address;
wire [2:0] a7ddrphy_dfi_p3_bank;
wire a7ddrphy_dfi_p3_cas_n;
wire a7ddrphy_dfi_p3_cs_n;
wire a7ddrphy_dfi_p3_ras_n;
wire a7ddrphy_dfi_p3_we_n;
wire a7ddrphy_dfi_p3_cke;
wire a7ddrphy_dfi_p3_odt;
wire a7ddrphy_dfi_p3_reset_n;
wire [31:0] a7ddrphy_dfi_p3_wrdata;
wire a7ddrphy_dfi_p3_wrdata_en;
wire [3:0] a7ddrphy_dfi_p3_wrdata_mask;
wire a7ddrphy_dfi_p3_rddata_en;
reg [31:0] a7ddrphy_dfi_p3_rddata = 32'd0;
reg a7ddrphy_dfi_p3_rddata_valid = 1'd0;
wire a7ddrphy_sd_clk_se;
reg a7ddrphy_oe_dqs = 1'd0;
wire a7ddrphy_dqs_preamble;
wire a7ddrphy_dqs_postamble;
reg [7:0] a7ddrphy_dqs_serdes_pattern = 8'd85;
wire a7ddrphy_dqs_nodelay0;
wire a7ddrphy_dqs_t0;
wire a7ddrphy0;
wire a7ddrphy_dqs_nodelay1;
wire a7ddrphy_dqs_t1;
wire a7ddrphy1;
reg a7ddrphy_oe_dq = 1'd0;
wire a7ddrphy_dq_o_nodelay0;
wire a7ddrphy_dq_i_nodelay0;
wire a7ddrphy_dq_i_delayed0;
wire a7ddrphy_dq_t0;
wire [7:0] a7ddrphy_dq_i_data0;
wire a7ddrphy_dq_o_nodelay1;
wire a7ddrphy_dq_i_nodelay1;
wire a7ddrphy_dq_i_delayed1;
wire a7ddrphy_dq_t1;
wire [7:0] a7ddrphy_dq_i_data1;
wire a7ddrphy_dq_o_nodelay2;
wire a7ddrphy_dq_i_nodelay2;
wire a7ddrphy_dq_i_delayed2;
wire a7ddrphy_dq_t2;
wire [7:0] a7ddrphy_dq_i_data2;
wire a7ddrphy_dq_o_nodelay3;
wire a7ddrphy_dq_i_nodelay3;
wire a7ddrphy_dq_i_delayed3;
wire a7ddrphy_dq_t3;
wire [7:0] a7ddrphy_dq_i_data3;
wire a7ddrphy_dq_o_nodelay4;
wire a7ddrphy_dq_i_nodelay4;
wire a7ddrphy_dq_i_delayed4;
wire a7ddrphy_dq_t4;
wire [7:0] a7ddrphy_dq_i_data4;
wire a7ddrphy_dq_o_nodelay5;
wire a7ddrphy_dq_i_nodelay5;
wire a7ddrphy_dq_i_delayed5;
wire a7ddrphy_dq_t5;
wire [7:0] a7ddrphy_dq_i_data5;
wire a7ddrphy_dq_o_nodelay6;
wire a7ddrphy_dq_i_nodelay6;
wire a7ddrphy_dq_i_delayed6;
wire a7ddrphy_dq_t6;
wire [7:0] a7ddrphy_dq_i_data6;
wire a7ddrphy_dq_o_nodelay7;
wire a7ddrphy_dq_i_nodelay7;
wire a7ddrphy_dq_i_delayed7;
wire a7ddrphy_dq_t7;
wire [7:0] a7ddrphy_dq_i_data7;
wire a7ddrphy_dq_o_nodelay8;
wire a7ddrphy_dq_i_nodelay8;
wire a7ddrphy_dq_i_delayed8;
wire a7ddrphy_dq_t8;
wire [7:0] a7ddrphy_dq_i_data8;
wire a7ddrphy_dq_o_nodelay9;
wire a7ddrphy_dq_i_nodelay9;
wire a7ddrphy_dq_i_delayed9;
wire a7ddrphy_dq_t9;
wire [7:0] a7ddrphy_dq_i_data9;
wire a7ddrphy_dq_o_nodelay10;
wire a7ddrphy_dq_i_nodelay10;
wire a7ddrphy_dq_i_delayed10;
wire a7ddrphy_dq_t10;
wire [7:0] a7ddrphy_dq_i_data10;
wire a7ddrphy_dq_o_nodelay11;
wire a7ddrphy_dq_i_nodelay11;
wire a7ddrphy_dq_i_delayed11;
wire a7ddrphy_dq_t11;
wire [7:0] a7ddrphy_dq_i_data11;
wire a7ddrphy_dq_o_nodelay12;
wire a7ddrphy_dq_i_nodelay12;
wire a7ddrphy_dq_i_delayed12;
wire a7ddrphy_dq_t12;
wire [7:0] a7ddrphy_dq_i_data12;
wire a7ddrphy_dq_o_nodelay13;
wire a7ddrphy_dq_i_nodelay13;
wire a7ddrphy_dq_i_delayed13;
wire a7ddrphy_dq_t13;
wire [7:0] a7ddrphy_dq_i_data13;
wire a7ddrphy_dq_o_nodelay14;
wire a7ddrphy_dq_i_nodelay14;
wire a7ddrphy_dq_i_delayed14;
wire a7ddrphy_dq_t14;
wire [7:0] a7ddrphy_dq_i_data14;
wire a7ddrphy_dq_o_nodelay15;
wire a7ddrphy_dq_i_nodelay15;
wire a7ddrphy_dq_i_delayed15;
wire a7ddrphy_dq_t15;
wire [7:0] a7ddrphy_dq_i_data15;
reg a7ddrphy_n_rddata_en0 = 1'd0;
reg a7ddrphy_n_rddata_en1 = 1'd0;
reg a7ddrphy_n_rddata_en2 = 1'd0;
reg a7ddrphy_n_rddata_en3 = 1'd0;
reg a7ddrphy_n_rddata_en4 = 1'd0;
wire a7ddrphy_oe;
reg [3:0] a7ddrphy_last_wrdata_en = 4'd0;
wire [13:0] sdram_inti_p0_address;
wire [2:0] sdram_inti_p0_bank;
reg sdram_inti_p0_cas_n = 1'd1;
reg sdram_inti_p0_cs_n = 1'd1;
reg sdram_inti_p0_ras_n = 1'd1;
reg sdram_inti_p0_we_n = 1'd1;
wire sdram_inti_p0_cke;
wire sdram_inti_p0_odt;
wire sdram_inti_p0_reset_n;
wire [31:0] sdram_inti_p0_wrdata;
wire sdram_inti_p0_wrdata_en;
wire [3:0] sdram_inti_p0_wrdata_mask;
wire sdram_inti_p0_rddata_en;
reg [31:0] sdram_inti_p0_rddata = 32'd0;
reg sdram_inti_p0_rddata_valid = 1'd0;
wire [13:0] sdram_inti_p1_address;
wire [2:0] sdram_inti_p1_bank;
reg sdram_inti_p1_cas_n = 1'd1;
reg sdram_inti_p1_cs_n = 1'd1;
reg sdram_inti_p1_ras_n = 1'd1;
reg sdram_inti_p1_we_n = 1'd1;
wire sdram_inti_p1_cke;
wire sdram_inti_p1_odt;
wire sdram_inti_p1_reset_n;
wire [31:0] sdram_inti_p1_wrdata;
wire sdram_inti_p1_wrdata_en;
wire [3:0] sdram_inti_p1_wrdata_mask;
wire sdram_inti_p1_rddata_en;
reg [31:0] sdram_inti_p1_rddata = 32'd0;
reg sdram_inti_p1_rddata_valid = 1'd0;
wire [13:0] sdram_inti_p2_address;
wire [2:0] sdram_inti_p2_bank;
reg sdram_inti_p2_cas_n = 1'd1;
reg sdram_inti_p2_cs_n = 1'd1;
reg sdram_inti_p2_ras_n = 1'd1;
reg sdram_inti_p2_we_n = 1'd1;
wire sdram_inti_p2_cke;
wire sdram_inti_p2_odt;
wire sdram_inti_p2_reset_n;
wire [31:0] sdram_inti_p2_wrdata;
wire sdram_inti_p2_wrdata_en;
wire [3:0] sdram_inti_p2_wrdata_mask;
wire sdram_inti_p2_rddata_en;
reg [31:0] sdram_inti_p2_rddata = 32'd0;
reg sdram_inti_p2_rddata_valid = 1'd0;
wire [13:0] sdram_inti_p3_address;
wire [2:0] sdram_inti_p3_bank;
reg sdram_inti_p3_cas_n = 1'd1;
reg sdram_inti_p3_cs_n = 1'd1;
reg sdram_inti_p3_ras_n = 1'd1;
reg sdram_inti_p3_we_n = 1'd1;
wire sdram_inti_p3_cke;
wire sdram_inti_p3_odt;
wire sdram_inti_p3_reset_n;
wire [31:0] sdram_inti_p3_wrdata;
wire sdram_inti_p3_wrdata_en;
wire [3:0] sdram_inti_p3_wrdata_mask;
wire sdram_inti_p3_rddata_en;
reg [31:0] sdram_inti_p3_rddata = 32'd0;
reg sdram_inti_p3_rddata_valid = 1'd0;
wire [13:0] sdram_slave_p0_address;
wire [2:0] sdram_slave_p0_bank;
wire sdram_slave_p0_cas_n;
wire sdram_slave_p0_cs_n;
wire sdram_slave_p0_ras_n;
wire sdram_slave_p0_we_n;
wire sdram_slave_p0_cke;
wire sdram_slave_p0_odt;
wire sdram_slave_p0_reset_n;
wire [31:0] sdram_slave_p0_wrdata;
wire sdram_slave_p0_wrdata_en;
wire [3:0] sdram_slave_p0_wrdata_mask;
wire sdram_slave_p0_rddata_en;
reg [31:0] sdram_slave_p0_rddata = 32'd0;
reg sdram_slave_p0_rddata_valid = 1'd0;
wire [13:0] sdram_slave_p1_address;
wire [2:0] sdram_slave_p1_bank;
wire sdram_slave_p1_cas_n;
wire sdram_slave_p1_cs_n;
wire sdram_slave_p1_ras_n;
wire sdram_slave_p1_we_n;
wire sdram_slave_p1_cke;
wire sdram_slave_p1_odt;
wire sdram_slave_p1_reset_n;
wire [31:0] sdram_slave_p1_wrdata;
wire sdram_slave_p1_wrdata_en;
wire [3:0] sdram_slave_p1_wrdata_mask;
wire sdram_slave_p1_rddata_en;
reg [31:0] sdram_slave_p1_rddata = 32'd0;
reg sdram_slave_p1_rddata_valid = 1'd0;
wire [13:0] sdram_slave_p2_address;
wire [2:0] sdram_slave_p2_bank;
wire sdram_slave_p2_cas_n;
wire sdram_slave_p2_cs_n;
wire sdram_slave_p2_ras_n;
wire sdram_slave_p2_we_n;
wire sdram_slave_p2_cke;
wire sdram_slave_p2_odt;
wire sdram_slave_p2_reset_n;
wire [31:0] sdram_slave_p2_wrdata;
wire sdram_slave_p2_wrdata_en;
wire [3:0] sdram_slave_p2_wrdata_mask;
wire sdram_slave_p2_rddata_en;
reg [31:0] sdram_slave_p2_rddata = 32'd0;
reg sdram_slave_p2_rddata_valid = 1'd0;
wire [13:0] sdram_slave_p3_address;
wire [2:0] sdram_slave_p3_bank;
wire sdram_slave_p3_cas_n;
wire sdram_slave_p3_cs_n;
wire sdram_slave_p3_ras_n;
wire sdram_slave_p3_we_n;
wire sdram_slave_p3_cke;
wire sdram_slave_p3_odt;
wire sdram_slave_p3_reset_n;
wire [31:0] sdram_slave_p3_wrdata;
wire sdram_slave_p3_wrdata_en;
wire [3:0] sdram_slave_p3_wrdata_mask;
wire sdram_slave_p3_rddata_en;
reg [31:0] sdram_slave_p3_rddata = 32'd0;
reg sdram_slave_p3_rddata_valid = 1'd0;
reg [13:0] sdram_master_p0_address = 14'd0;
reg [2:0] sdram_master_p0_bank = 3'd0;
reg sdram_master_p0_cas_n = 1'd1;
reg sdram_master_p0_cs_n = 1'd1;
reg sdram_master_p0_ras_n = 1'd1;
reg sdram_master_p0_we_n = 1'd1;
reg sdram_master_p0_cke = 1'd0;
reg sdram_master_p0_odt = 1'd0;
reg sdram_master_p0_reset_n = 1'd0;
reg [31:0] sdram_master_p0_wrdata = 32'd0;
reg sdram_master_p0_wrdata_en = 1'd0;
reg [3:0] sdram_master_p0_wrdata_mask = 4'd0;
reg sdram_master_p0_rddata_en = 1'd0;
wire [31:0] sdram_master_p0_rddata;
wire sdram_master_p0_rddata_valid;
reg [13:0] sdram_master_p1_address = 14'd0;
reg [2:0] sdram_master_p1_bank = 3'd0;
reg sdram_master_p1_cas_n = 1'd1;
reg sdram_master_p1_cs_n = 1'd1;
reg sdram_master_p1_ras_n = 1'd1;
reg sdram_master_p1_we_n = 1'd1;
reg sdram_master_p1_cke = 1'd0;
reg sdram_master_p1_odt = 1'd0;
reg sdram_master_p1_reset_n = 1'd0;
reg [31:0] sdram_master_p1_wrdata = 32'd0;
reg sdram_master_p1_wrdata_en = 1'd0;
reg [3:0] sdram_master_p1_wrdata_mask = 4'd0;
reg sdram_master_p1_rddata_en = 1'd0;
wire [31:0] sdram_master_p1_rddata;
wire sdram_master_p1_rddata_valid;
reg [13:0] sdram_master_p2_address = 14'd0;
reg [2:0] sdram_master_p2_bank = 3'd0;
reg sdram_master_p2_cas_n = 1'd1;
reg sdram_master_p2_cs_n = 1'd1;
reg sdram_master_p2_ras_n = 1'd1;
reg sdram_master_p2_we_n = 1'd1;
reg sdram_master_p2_cke = 1'd0;
reg sdram_master_p2_odt = 1'd0;
reg sdram_master_p2_reset_n = 1'd0;
reg [31:0] sdram_master_p2_wrdata = 32'd0;
reg sdram_master_p2_wrdata_en = 1'd0;
reg [3:0] sdram_master_p2_wrdata_mask = 4'd0;
reg sdram_master_p2_rddata_en = 1'd0;
wire [31:0] sdram_master_p2_rddata;
wire sdram_master_p2_rddata_valid;
reg [13:0] sdram_master_p3_address = 14'd0;
reg [2:0] sdram_master_p3_bank = 3'd0;
reg sdram_master_p3_cas_n = 1'd1;
reg sdram_master_p3_cs_n = 1'd1;
reg sdram_master_p3_ras_n = 1'd1;
reg sdram_master_p3_we_n = 1'd1;
reg sdram_master_p3_cke = 1'd0;
reg sdram_master_p3_odt = 1'd0;
reg sdram_master_p3_reset_n = 1'd0;
reg [31:0] sdram_master_p3_wrdata = 32'd0;
reg sdram_master_p3_wrdata_en = 1'd0;
reg [3:0] sdram_master_p3_wrdata_mask = 4'd0;
reg sdram_master_p3_rddata_en = 1'd0;
wire [31:0] sdram_master_p3_rddata;
wire sdram_master_p3_rddata_valid;
reg [3:0] sdram_storage_full = 4'd0;
wire [3:0] sdram_storage;
reg sdram_re = 1'd0;
reg [5:0] sdram_phaseinjector0_command_storage_full = 6'd0;
wire [5:0] sdram_phaseinjector0_command_storage;
reg sdram_phaseinjector0_command_re = 1'd0;
wire sdram_phaseinjector0_command_issue_re;
wire sdram_phaseinjector0_command_issue_r;
reg sdram_phaseinjector0_command_issue_w = 1'd0;
reg [13:0] sdram_phaseinjector0_address_storage_full = 14'd0;
wire [13:0] sdram_phaseinjector0_address_storage;
reg sdram_phaseinjector0_address_re = 1'd0;
reg [2:0] sdram_phaseinjector0_baddress_storage_full = 3'd0;
wire [2:0] sdram_phaseinjector0_baddress_storage;
reg sdram_phaseinjector0_baddress_re = 1'd0;
reg [31:0] sdram_phaseinjector0_wrdata_storage_full = 32'd0;
wire [31:0] sdram_phaseinjector0_wrdata_storage;
reg sdram_phaseinjector0_wrdata_re = 1'd0;
reg [31:0] sdram_phaseinjector0_status = 32'd0;
reg [5:0] sdram_phaseinjector1_command_storage_full = 6'd0;
wire [5:0] sdram_phaseinjector1_command_storage;
reg sdram_phaseinjector1_command_re = 1'd0;
wire sdram_phaseinjector1_command_issue_re;
wire sdram_phaseinjector1_command_issue_r;
reg sdram_phaseinjector1_command_issue_w = 1'd0;
reg [13:0] sdram_phaseinjector1_address_storage_full = 14'd0;
wire [13:0] sdram_phaseinjector1_address_storage;
reg sdram_phaseinjector1_address_re = 1'd0;
reg [2:0] sdram_phaseinjector1_baddress_storage_full = 3'd0;
wire [2:0] sdram_phaseinjector1_baddress_storage;
reg sdram_phaseinjector1_baddress_re = 1'd0;
reg [31:0] sdram_phaseinjector1_wrdata_storage_full = 32'd0;
wire [31:0] sdram_phaseinjector1_wrdata_storage;
reg sdram_phaseinjector1_wrdata_re = 1'd0;
reg [31:0] sdram_phaseinjector1_status = 32'd0;
reg [5:0] sdram_phaseinjector2_command_storage_full = 6'd0;
wire [5:0] sdram_phaseinjector2_command_storage;
reg sdram_phaseinjector2_command_re = 1'd0;
wire sdram_phaseinjector2_command_issue_re;
wire sdram_phaseinjector2_command_issue_r;
reg sdram_phaseinjector2_command_issue_w = 1'd0;
reg [13:0] sdram_phaseinjector2_address_storage_full = 14'd0;
wire [13:0] sdram_phaseinjector2_address_storage;
reg sdram_phaseinjector2_address_re = 1'd0;
reg [2:0] sdram_phaseinjector2_baddress_storage_full = 3'd0;
wire [2:0] sdram_phaseinjector2_baddress_storage;
reg sdram_phaseinjector2_baddress_re = 1'd0;
reg [31:0] sdram_phaseinjector2_wrdata_storage_full = 32'd0;
wire [31:0] sdram_phaseinjector2_wrdata_storage;
reg sdram_phaseinjector2_wrdata_re = 1'd0;
reg [31:0] sdram_phaseinjector2_status = 32'd0;
reg [5:0] sdram_phaseinjector3_command_storage_full = 6'd0;
wire [5:0] sdram_phaseinjector3_command_storage;
reg sdram_phaseinjector3_command_re = 1'd0;
wire sdram_phaseinjector3_command_issue_re;
wire sdram_phaseinjector3_command_issue_r;
reg sdram_phaseinjector3_command_issue_w = 1'd0;
reg [13:0] sdram_phaseinjector3_address_storage_full = 14'd0;
wire [13:0] sdram_phaseinjector3_address_storage;
reg sdram_phaseinjector3_address_re = 1'd0;
reg [2:0] sdram_phaseinjector3_baddress_storage_full = 3'd0;
wire [2:0] sdram_phaseinjector3_baddress_storage;
reg sdram_phaseinjector3_baddress_re = 1'd0;
reg [31:0] sdram_phaseinjector3_wrdata_storage_full = 32'd0;
wire [31:0] sdram_phaseinjector3_wrdata_storage;
reg sdram_phaseinjector3_wrdata_re = 1'd0;
reg [31:0] sdram_phaseinjector3_status = 32'd0;
reg [13:0] sdram_dfi_p0_address = 14'd0;
reg [2:0] sdram_dfi_p0_bank = 3'd0;
reg sdram_dfi_p0_cas_n = 1'd1;
reg sdram_dfi_p0_cs_n = 1'd1;
reg sdram_dfi_p0_ras_n = 1'd1;
reg sdram_dfi_p0_we_n = 1'd1;
wire sdram_dfi_p0_cke;
wire sdram_dfi_p0_odt;
wire sdram_dfi_p0_reset_n;
wire [31:0] sdram_dfi_p0_wrdata;
reg sdram_dfi_p0_wrdata_en = 1'd0;
wire [3:0] sdram_dfi_p0_wrdata_mask;
reg sdram_dfi_p0_rddata_en = 1'd0;
wire [31:0] sdram_dfi_p0_rddata;
wire sdram_dfi_p0_rddata_valid;
reg [13:0] sdram_dfi_p1_address = 14'd0;
reg [2:0] sdram_dfi_p1_bank = 3'd0;
reg sdram_dfi_p1_cas_n = 1'd1;
reg sdram_dfi_p1_cs_n = 1'd1;
reg sdram_dfi_p1_ras_n = 1'd1;
reg sdram_dfi_p1_we_n = 1'd1;
wire sdram_dfi_p1_cke;
wire sdram_dfi_p1_odt;
wire sdram_dfi_p1_reset_n;
wire [31:0] sdram_dfi_p1_wrdata;
reg sdram_dfi_p1_wrdata_en = 1'd0;
wire [3:0] sdram_dfi_p1_wrdata_mask;
reg sdram_dfi_p1_rddata_en = 1'd0;
wire [31:0] sdram_dfi_p1_rddata;
wire sdram_dfi_p1_rddata_valid;
reg [13:0] sdram_dfi_p2_address = 14'd0;
reg [2:0] sdram_dfi_p2_bank = 3'd0;
reg sdram_dfi_p2_cas_n = 1'd1;
reg sdram_dfi_p2_cs_n = 1'd1;
reg sdram_dfi_p2_ras_n = 1'd1;
reg sdram_dfi_p2_we_n = 1'd1;
wire sdram_dfi_p2_cke;
wire sdram_dfi_p2_odt;
wire sdram_dfi_p2_reset_n;
wire [31:0] sdram_dfi_p2_wrdata;
reg sdram_dfi_p2_wrdata_en = 1'd0;
wire [3:0] sdram_dfi_p2_wrdata_mask;
reg sdram_dfi_p2_rddata_en = 1'd0;
wire [31:0] sdram_dfi_p2_rddata;
wire sdram_dfi_p2_rddata_valid;
reg [13:0] sdram_dfi_p3_address = 14'd0;
reg [2:0] sdram_dfi_p3_bank = 3'd0;
reg sdram_dfi_p3_cas_n = 1'd1;
reg sdram_dfi_p3_cs_n = 1'd1;
reg sdram_dfi_p3_ras_n = 1'd1;
reg sdram_dfi_p3_we_n = 1'd1;
wire sdram_dfi_p3_cke;
wire sdram_dfi_p3_odt;
wire sdram_dfi_p3_reset_n;
wire [31:0] sdram_dfi_p3_wrdata;
reg sdram_dfi_p3_wrdata_en = 1'd0;
wire [3:0] sdram_dfi_p3_wrdata_mask;
reg sdram_dfi_p3_rddata_en = 1'd0;
wire [31:0] sdram_dfi_p3_rddata;
wire sdram_dfi_p3_rddata_valid;
wire sdram_interface_bank0_valid;
wire sdram_interface_bank0_ready;
wire sdram_interface_bank0_we;
wire [20:0] sdram_interface_bank0_addr;
wire sdram_interface_bank0_lock;
wire sdram_interface_bank0_wdata_ready;
wire sdram_interface_bank0_rdata_valid;
wire sdram_interface_bank1_valid;
wire sdram_interface_bank1_ready;
wire sdram_interface_bank1_we;
wire [20:0] sdram_interface_bank1_addr;
wire sdram_interface_bank1_lock;
wire sdram_interface_bank1_wdata_ready;
wire sdram_interface_bank1_rdata_valid;
wire sdram_interface_bank2_valid;
wire sdram_interface_bank2_ready;
wire sdram_interface_bank2_we;
wire [20:0] sdram_interface_bank2_addr;
wire sdram_interface_bank2_lock;
wire sdram_interface_bank2_wdata_ready;
wire sdram_interface_bank2_rdata_valid;
wire sdram_interface_bank3_valid;
wire sdram_interface_bank3_ready;
wire sdram_interface_bank3_we;
wire [20:0] sdram_interface_bank3_addr;
wire sdram_interface_bank3_lock;
wire sdram_interface_bank3_wdata_ready;
wire sdram_interface_bank3_rdata_valid;
wire sdram_interface_bank4_valid;
wire sdram_interface_bank4_ready;
wire sdram_interface_bank4_we;
wire [20:0] sdram_interface_bank4_addr;
wire sdram_interface_bank4_lock;
wire sdram_interface_bank4_wdata_ready;
wire sdram_interface_bank4_rdata_valid;
wire sdram_interface_bank5_valid;
wire sdram_interface_bank5_ready;
wire sdram_interface_bank5_we;
wire [20:0] sdram_interface_bank5_addr;
wire sdram_interface_bank5_lock;
wire sdram_interface_bank5_wdata_ready;
wire sdram_interface_bank5_rdata_valid;
wire sdram_interface_bank6_valid;
wire sdram_interface_bank6_ready;
wire sdram_interface_bank6_we;
wire [20:0] sdram_interface_bank6_addr;
wire sdram_interface_bank6_lock;
wire sdram_interface_bank6_wdata_ready;
wire sdram_interface_bank6_rdata_valid;
wire sdram_interface_bank7_valid;
wire sdram_interface_bank7_ready;
wire sdram_interface_bank7_we;
wire [20:0] sdram_interface_bank7_addr;
wire sdram_interface_bank7_lock;
wire sdram_interface_bank7_wdata_ready;
wire sdram_interface_bank7_rdata_valid;
reg [127:0] sdram_interface_wdata = 128'd0;
reg [15:0] sdram_interface_wdata_we = 16'd0;
wire [127:0] sdram_interface_rdata;
reg sdram_cmd_valid = 1'd0;
reg sdram_cmd_ready = 1'd0;
reg sdram_cmd_last = 1'd0;
reg [13:0] sdram_cmd_payload_a = 14'd0;
reg [2:0] sdram_cmd_payload_ba = 3'd0;
reg sdram_cmd_payload_cas = 1'd0;
reg sdram_cmd_payload_ras = 1'd0;
reg sdram_cmd_payload_we = 1'd0;
reg sdram_cmd_payload_is_read = 1'd0;
reg sdram_cmd_payload_is_write = 1'd0;
reg sdram_seq_start = 1'd0;
reg sdram_seq_done = 1'd0;
reg [4:0] sdram_counter = 5'd0;
wire sdram_wait;
wire sdram_done;
reg [9:0] sdram_count = 10'd782;
wire sdram_bankmachine0_req_valid;
wire sdram_bankmachine0_req_ready;
wire sdram_bankmachine0_req_we;
wire [20:0] sdram_bankmachine0_req_addr;
wire sdram_bankmachine0_req_lock;
reg sdram_bankmachine0_req_wdata_ready = 1'd0;
reg sdram_bankmachine0_req_rdata_valid = 1'd0;
wire sdram_bankmachine0_refresh_req;
reg sdram_bankmachine0_refresh_gnt = 1'd0;
wire sdram_bankmachine0_ras_allowed;
wire sdram_bankmachine0_cas_allowed;
reg sdram_bankmachine0_cmd_valid = 1'd0;
reg sdram_bankmachine0_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine0_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine0_cmd_payload_ba;
reg sdram_bankmachine0_cmd_payload_cas = 1'd0;
reg sdram_bankmachine0_cmd_payload_ras = 1'd0;
reg sdram_bankmachine0_cmd_payload_we = 1'd0;
reg sdram_bankmachine0_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine0_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine0_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine0_auto_precharge = 1'd0;
wire sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we;
wire sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
wire sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re;
wire sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
reg [3:0] sdram_bankmachine0_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine0_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine0_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine0_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine0_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine0_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine0_cmd_buffer_sink_valid;
wire sdram_bankmachine0_cmd_buffer_sink_ready;
wire sdram_bankmachine0_cmd_buffer_sink_first;
wire sdram_bankmachine0_cmd_buffer_sink_last;
wire sdram_bankmachine0_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine0_cmd_buffer_source_valid;
wire sdram_bankmachine0_cmd_buffer_source_ready;
wire sdram_bankmachine0_cmd_buffer_source_first;
wire sdram_bankmachine0_cmd_buffer_source_last;
reg sdram_bankmachine0_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine0_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine0_cmd_buffer_pipe_ce;
wire sdram_bankmachine0_cmd_buffer_busy;
reg sdram_bankmachine0_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine0_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine0_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine0_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine0_openrow = 14'd0;
wire sdram_bankmachine0_hit;
reg sdram_bankmachine0_track_open = 1'd0;
reg sdram_bankmachine0_track_close = 1'd0;
reg sdram_bankmachine0_sel_row_addr = 1'd0;
wire sdram_bankmachine0_wait;
wire sdram_bankmachine0_done;
reg [2:0] sdram_bankmachine0_count = 3'd5;
wire sdram_bankmachine1_req_valid;
wire sdram_bankmachine1_req_ready;
wire sdram_bankmachine1_req_we;
wire [20:0] sdram_bankmachine1_req_addr;
wire sdram_bankmachine1_req_lock;
reg sdram_bankmachine1_req_wdata_ready = 1'd0;
reg sdram_bankmachine1_req_rdata_valid = 1'd0;
wire sdram_bankmachine1_refresh_req;
reg sdram_bankmachine1_refresh_gnt = 1'd0;
wire sdram_bankmachine1_ras_allowed;
wire sdram_bankmachine1_cas_allowed;
reg sdram_bankmachine1_cmd_valid = 1'd0;
reg sdram_bankmachine1_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine1_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine1_cmd_payload_ba;
reg sdram_bankmachine1_cmd_payload_cas = 1'd0;
reg sdram_bankmachine1_cmd_payload_ras = 1'd0;
reg sdram_bankmachine1_cmd_payload_we = 1'd0;
reg sdram_bankmachine1_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine1_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine1_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine1_auto_precharge = 1'd0;
wire sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we;
wire sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
wire sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re;
wire sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
reg [3:0] sdram_bankmachine1_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine1_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine1_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine1_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine1_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine1_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine1_cmd_buffer_sink_valid;
wire sdram_bankmachine1_cmd_buffer_sink_ready;
wire sdram_bankmachine1_cmd_buffer_sink_first;
wire sdram_bankmachine1_cmd_buffer_sink_last;
wire sdram_bankmachine1_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine1_cmd_buffer_source_valid;
wire sdram_bankmachine1_cmd_buffer_source_ready;
wire sdram_bankmachine1_cmd_buffer_source_first;
wire sdram_bankmachine1_cmd_buffer_source_last;
reg sdram_bankmachine1_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine1_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine1_cmd_buffer_pipe_ce;
wire sdram_bankmachine1_cmd_buffer_busy;
reg sdram_bankmachine1_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine1_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine1_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine1_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine1_openrow = 14'd0;
wire sdram_bankmachine1_hit;
reg sdram_bankmachine1_track_open = 1'd0;
reg sdram_bankmachine1_track_close = 1'd0;
reg sdram_bankmachine1_sel_row_addr = 1'd0;
wire sdram_bankmachine1_wait;
wire sdram_bankmachine1_done;
reg [2:0] sdram_bankmachine1_count = 3'd5;
wire sdram_bankmachine2_req_valid;
wire sdram_bankmachine2_req_ready;
wire sdram_bankmachine2_req_we;
wire [20:0] sdram_bankmachine2_req_addr;
wire sdram_bankmachine2_req_lock;
reg sdram_bankmachine2_req_wdata_ready = 1'd0;
reg sdram_bankmachine2_req_rdata_valid = 1'd0;
wire sdram_bankmachine2_refresh_req;
reg sdram_bankmachine2_refresh_gnt = 1'd0;
wire sdram_bankmachine2_ras_allowed;
wire sdram_bankmachine2_cas_allowed;
reg sdram_bankmachine2_cmd_valid = 1'd0;
reg sdram_bankmachine2_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine2_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine2_cmd_payload_ba;
reg sdram_bankmachine2_cmd_payload_cas = 1'd0;
reg sdram_bankmachine2_cmd_payload_ras = 1'd0;
reg sdram_bankmachine2_cmd_payload_we = 1'd0;
reg sdram_bankmachine2_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine2_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine2_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine2_auto_precharge = 1'd0;
wire sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we;
wire sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
wire sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re;
wire sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
reg [3:0] sdram_bankmachine2_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine2_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine2_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine2_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine2_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine2_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine2_cmd_buffer_sink_valid;
wire sdram_bankmachine2_cmd_buffer_sink_ready;
wire sdram_bankmachine2_cmd_buffer_sink_first;
wire sdram_bankmachine2_cmd_buffer_sink_last;
wire sdram_bankmachine2_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine2_cmd_buffer_source_valid;
wire sdram_bankmachine2_cmd_buffer_source_ready;
wire sdram_bankmachine2_cmd_buffer_source_first;
wire sdram_bankmachine2_cmd_buffer_source_last;
reg sdram_bankmachine2_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine2_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine2_cmd_buffer_pipe_ce;
wire sdram_bankmachine2_cmd_buffer_busy;
reg sdram_bankmachine2_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine2_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine2_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine2_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine2_openrow = 14'd0;
wire sdram_bankmachine2_hit;
reg sdram_bankmachine2_track_open = 1'd0;
reg sdram_bankmachine2_track_close = 1'd0;
reg sdram_bankmachine2_sel_row_addr = 1'd0;
wire sdram_bankmachine2_wait;
wire sdram_bankmachine2_done;
reg [2:0] sdram_bankmachine2_count = 3'd5;
wire sdram_bankmachine3_req_valid;
wire sdram_bankmachine3_req_ready;
wire sdram_bankmachine3_req_we;
wire [20:0] sdram_bankmachine3_req_addr;
wire sdram_bankmachine3_req_lock;
reg sdram_bankmachine3_req_wdata_ready = 1'd0;
reg sdram_bankmachine3_req_rdata_valid = 1'd0;
wire sdram_bankmachine3_refresh_req;
reg sdram_bankmachine3_refresh_gnt = 1'd0;
wire sdram_bankmachine3_ras_allowed;
wire sdram_bankmachine3_cas_allowed;
reg sdram_bankmachine3_cmd_valid = 1'd0;
reg sdram_bankmachine3_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine3_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine3_cmd_payload_ba;
reg sdram_bankmachine3_cmd_payload_cas = 1'd0;
reg sdram_bankmachine3_cmd_payload_ras = 1'd0;
reg sdram_bankmachine3_cmd_payload_we = 1'd0;
reg sdram_bankmachine3_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine3_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine3_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine3_auto_precharge = 1'd0;
wire sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we;
wire sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
wire sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re;
wire sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
reg [3:0] sdram_bankmachine3_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine3_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine3_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine3_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine3_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine3_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine3_cmd_buffer_sink_valid;
wire sdram_bankmachine3_cmd_buffer_sink_ready;
wire sdram_bankmachine3_cmd_buffer_sink_first;
wire sdram_bankmachine3_cmd_buffer_sink_last;
wire sdram_bankmachine3_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine3_cmd_buffer_source_valid;
wire sdram_bankmachine3_cmd_buffer_source_ready;
wire sdram_bankmachine3_cmd_buffer_source_first;
wire sdram_bankmachine3_cmd_buffer_source_last;
reg sdram_bankmachine3_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine3_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine3_cmd_buffer_pipe_ce;
wire sdram_bankmachine3_cmd_buffer_busy;
reg sdram_bankmachine3_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine3_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine3_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine3_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine3_openrow = 14'd0;
wire sdram_bankmachine3_hit;
reg sdram_bankmachine3_track_open = 1'd0;
reg sdram_bankmachine3_track_close = 1'd0;
reg sdram_bankmachine3_sel_row_addr = 1'd0;
wire sdram_bankmachine3_wait;
wire sdram_bankmachine3_done;
reg [2:0] sdram_bankmachine3_count = 3'd5;
wire sdram_bankmachine4_req_valid;
wire sdram_bankmachine4_req_ready;
wire sdram_bankmachine4_req_we;
wire [20:0] sdram_bankmachine4_req_addr;
wire sdram_bankmachine4_req_lock;
reg sdram_bankmachine4_req_wdata_ready = 1'd0;
reg sdram_bankmachine4_req_rdata_valid = 1'd0;
wire sdram_bankmachine4_refresh_req;
reg sdram_bankmachine4_refresh_gnt = 1'd0;
wire sdram_bankmachine4_ras_allowed;
wire sdram_bankmachine4_cas_allowed;
reg sdram_bankmachine4_cmd_valid = 1'd0;
reg sdram_bankmachine4_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine4_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine4_cmd_payload_ba;
reg sdram_bankmachine4_cmd_payload_cas = 1'd0;
reg sdram_bankmachine4_cmd_payload_ras = 1'd0;
reg sdram_bankmachine4_cmd_payload_we = 1'd0;
reg sdram_bankmachine4_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine4_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine4_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine4_auto_precharge = 1'd0;
wire sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we;
wire sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
wire sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re;
wire sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
reg [3:0] sdram_bankmachine4_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine4_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine4_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine4_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine4_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine4_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine4_cmd_buffer_sink_valid;
wire sdram_bankmachine4_cmd_buffer_sink_ready;
wire sdram_bankmachine4_cmd_buffer_sink_first;
wire sdram_bankmachine4_cmd_buffer_sink_last;
wire sdram_bankmachine4_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine4_cmd_buffer_source_valid;
wire sdram_bankmachine4_cmd_buffer_source_ready;
wire sdram_bankmachine4_cmd_buffer_source_first;
wire sdram_bankmachine4_cmd_buffer_source_last;
reg sdram_bankmachine4_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine4_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine4_cmd_buffer_pipe_ce;
wire sdram_bankmachine4_cmd_buffer_busy;
reg sdram_bankmachine4_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine4_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine4_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine4_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine4_openrow = 14'd0;
wire sdram_bankmachine4_hit;
reg sdram_bankmachine4_track_open = 1'd0;
reg sdram_bankmachine4_track_close = 1'd0;
reg sdram_bankmachine4_sel_row_addr = 1'd0;
wire sdram_bankmachine4_wait;
wire sdram_bankmachine4_done;
reg [2:0] sdram_bankmachine4_count = 3'd5;
wire sdram_bankmachine5_req_valid;
wire sdram_bankmachine5_req_ready;
wire sdram_bankmachine5_req_we;
wire [20:0] sdram_bankmachine5_req_addr;
wire sdram_bankmachine5_req_lock;
reg sdram_bankmachine5_req_wdata_ready = 1'd0;
reg sdram_bankmachine5_req_rdata_valid = 1'd0;
wire sdram_bankmachine5_refresh_req;
reg sdram_bankmachine5_refresh_gnt = 1'd0;
wire sdram_bankmachine5_ras_allowed;
wire sdram_bankmachine5_cas_allowed;
reg sdram_bankmachine5_cmd_valid = 1'd0;
reg sdram_bankmachine5_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine5_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine5_cmd_payload_ba;
reg sdram_bankmachine5_cmd_payload_cas = 1'd0;
reg sdram_bankmachine5_cmd_payload_ras = 1'd0;
reg sdram_bankmachine5_cmd_payload_we = 1'd0;
reg sdram_bankmachine5_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine5_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine5_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine5_auto_precharge = 1'd0;
wire sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we;
wire sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
wire sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re;
wire sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
reg [3:0] sdram_bankmachine5_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine5_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine5_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine5_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine5_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine5_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine5_cmd_buffer_sink_valid;
wire sdram_bankmachine5_cmd_buffer_sink_ready;
wire sdram_bankmachine5_cmd_buffer_sink_first;
wire sdram_bankmachine5_cmd_buffer_sink_last;
wire sdram_bankmachine5_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine5_cmd_buffer_source_valid;
wire sdram_bankmachine5_cmd_buffer_source_ready;
wire sdram_bankmachine5_cmd_buffer_source_first;
wire sdram_bankmachine5_cmd_buffer_source_last;
reg sdram_bankmachine5_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine5_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine5_cmd_buffer_pipe_ce;
wire sdram_bankmachine5_cmd_buffer_busy;
reg sdram_bankmachine5_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine5_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine5_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine5_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine5_openrow = 14'd0;
wire sdram_bankmachine5_hit;
reg sdram_bankmachine5_track_open = 1'd0;
reg sdram_bankmachine5_track_close = 1'd0;
reg sdram_bankmachine5_sel_row_addr = 1'd0;
wire sdram_bankmachine5_wait;
wire sdram_bankmachine5_done;
reg [2:0] sdram_bankmachine5_count = 3'd5;
wire sdram_bankmachine6_req_valid;
wire sdram_bankmachine6_req_ready;
wire sdram_bankmachine6_req_we;
wire [20:0] sdram_bankmachine6_req_addr;
wire sdram_bankmachine6_req_lock;
reg sdram_bankmachine6_req_wdata_ready = 1'd0;
reg sdram_bankmachine6_req_rdata_valid = 1'd0;
wire sdram_bankmachine6_refresh_req;
reg sdram_bankmachine6_refresh_gnt = 1'd0;
wire sdram_bankmachine6_ras_allowed;
wire sdram_bankmachine6_cas_allowed;
reg sdram_bankmachine6_cmd_valid = 1'd0;
reg sdram_bankmachine6_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine6_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine6_cmd_payload_ba;
reg sdram_bankmachine6_cmd_payload_cas = 1'd0;
reg sdram_bankmachine6_cmd_payload_ras = 1'd0;
reg sdram_bankmachine6_cmd_payload_we = 1'd0;
reg sdram_bankmachine6_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine6_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine6_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine6_auto_precharge = 1'd0;
wire sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we;
wire sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
wire sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re;
wire sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
reg [3:0] sdram_bankmachine6_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine6_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine6_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine6_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine6_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine6_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine6_cmd_buffer_sink_valid;
wire sdram_bankmachine6_cmd_buffer_sink_ready;
wire sdram_bankmachine6_cmd_buffer_sink_first;
wire sdram_bankmachine6_cmd_buffer_sink_last;
wire sdram_bankmachine6_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine6_cmd_buffer_source_valid;
wire sdram_bankmachine6_cmd_buffer_source_ready;
wire sdram_bankmachine6_cmd_buffer_source_first;
wire sdram_bankmachine6_cmd_buffer_source_last;
reg sdram_bankmachine6_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine6_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine6_cmd_buffer_pipe_ce;
wire sdram_bankmachine6_cmd_buffer_busy;
reg sdram_bankmachine6_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine6_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine6_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine6_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine6_openrow = 14'd0;
wire sdram_bankmachine6_hit;
reg sdram_bankmachine6_track_open = 1'd0;
reg sdram_bankmachine6_track_close = 1'd0;
reg sdram_bankmachine6_sel_row_addr = 1'd0;
wire sdram_bankmachine6_wait;
wire sdram_bankmachine6_done;
reg [2:0] sdram_bankmachine6_count = 3'd5;
wire sdram_bankmachine7_req_valid;
wire sdram_bankmachine7_req_ready;
wire sdram_bankmachine7_req_we;
wire [20:0] sdram_bankmachine7_req_addr;
wire sdram_bankmachine7_req_lock;
reg sdram_bankmachine7_req_wdata_ready = 1'd0;
reg sdram_bankmachine7_req_rdata_valid = 1'd0;
wire sdram_bankmachine7_refresh_req;
reg sdram_bankmachine7_refresh_gnt = 1'd0;
wire sdram_bankmachine7_ras_allowed;
wire sdram_bankmachine7_cas_allowed;
reg sdram_bankmachine7_cmd_valid = 1'd0;
reg sdram_bankmachine7_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine7_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine7_cmd_payload_ba;
reg sdram_bankmachine7_cmd_payload_cas = 1'd0;
reg sdram_bankmachine7_cmd_payload_ras = 1'd0;
reg sdram_bankmachine7_cmd_payload_we = 1'd0;
reg sdram_bankmachine7_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine7_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine7_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine7_auto_precharge = 1'd0;
wire sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we;
wire sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
wire sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re;
wire sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
reg [3:0] sdram_bankmachine7_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine7_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine7_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine7_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine7_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine7_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine7_cmd_buffer_sink_valid;
wire sdram_bankmachine7_cmd_buffer_sink_ready;
wire sdram_bankmachine7_cmd_buffer_sink_first;
wire sdram_bankmachine7_cmd_buffer_sink_last;
wire sdram_bankmachine7_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine7_cmd_buffer_source_valid;
wire sdram_bankmachine7_cmd_buffer_source_ready;
wire sdram_bankmachine7_cmd_buffer_source_first;
wire sdram_bankmachine7_cmd_buffer_source_last;
reg sdram_bankmachine7_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine7_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine7_cmd_buffer_pipe_ce;
wire sdram_bankmachine7_cmd_buffer_busy;
reg sdram_bankmachine7_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine7_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine7_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine7_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine7_openrow = 14'd0;
wire sdram_bankmachine7_hit;
reg sdram_bankmachine7_track_open = 1'd0;
reg sdram_bankmachine7_track_close = 1'd0;
reg sdram_bankmachine7_sel_row_addr = 1'd0;
wire sdram_bankmachine7_wait;
wire sdram_bankmachine7_done;
reg [2:0] sdram_bankmachine7_count = 3'd5;
wire sdram_ras_allowed;
wire sdram_cas_allowed;
reg sdram_choose_cmd_want_reads = 1'd0;
reg sdram_choose_cmd_want_writes = 1'd0;
reg sdram_choose_cmd_want_cmds = 1'd0;
reg sdram_choose_cmd_want_activates = 1'd0;
wire sdram_choose_cmd_cmd_valid;
reg sdram_choose_cmd_cmd_ready = 1'd0;
wire [13:0] sdram_choose_cmd_cmd_payload_a;
wire [2:0] sdram_choose_cmd_cmd_payload_ba;
reg sdram_choose_cmd_cmd_payload_cas = 1'd0;
reg sdram_choose_cmd_cmd_payload_ras = 1'd0;
reg sdram_choose_cmd_cmd_payload_we = 1'd0;
wire sdram_choose_cmd_cmd_payload_is_cmd;
wire sdram_choose_cmd_cmd_payload_is_read;
wire sdram_choose_cmd_cmd_payload_is_write;
reg [7:0] sdram_choose_cmd_valids = 8'd0;
wire [7:0] sdram_choose_cmd_request;
reg [2:0] sdram_choose_cmd_grant = 3'd0;
wire sdram_choose_cmd_ce;
reg sdram_choose_req_want_reads = 1'd0;
reg sdram_choose_req_want_writes = 1'd0;
reg sdram_choose_req_want_cmds = 1'd0;
reg sdram_choose_req_want_activates = 1'd0;
wire sdram_choose_req_cmd_valid;
reg sdram_choose_req_cmd_ready = 1'd0;
wire [13:0] sdram_choose_req_cmd_payload_a;
wire [2:0] sdram_choose_req_cmd_payload_ba;
reg sdram_choose_req_cmd_payload_cas = 1'd0;
reg sdram_choose_req_cmd_payload_ras = 1'd0;
reg sdram_choose_req_cmd_payload_we = 1'd0;
wire sdram_choose_req_cmd_payload_is_cmd;
wire sdram_choose_req_cmd_payload_is_read;
wire sdram_choose_req_cmd_payload_is_write;
reg [7:0] sdram_choose_req_valids = 8'd0;
wire [7:0] sdram_choose_req_request;
reg [2:0] sdram_choose_req_grant = 3'd0;
wire sdram_choose_req_ce;
reg [13:0] sdram_nop_a = 14'd0;
reg [2:0] sdram_nop_ba = 3'd0;
reg sdram_nop_cas = 1'd0;
reg sdram_nop_ras = 1'd0;
reg sdram_nop_we = 1'd0;
reg [1:0] sdram_sel0 = 2'd0;
reg [1:0] sdram_sel1 = 2'd0;
reg [1:0] sdram_sel2 = 2'd0;
reg [1:0] sdram_sel3 = 2'd0;
wire sdram_trrdcon_valid;
(* dont_touch = "true" *) reg sdram_trrdcon_ready = 1'd1;
reg sdram_trrdcon_count = 1'd0;
wire sdram_tfawcon_valid;
(* dont_touch = "true" *) reg sdram_tfawcon_ready = 1'd1;
wire [2:0] sdram_tfawcon_count;
reg [7:0] sdram_tfawcon_window = 8'd0;
wire sdram_tccdcon_valid;
(* dont_touch = "true" *) reg sdram_tccdcon_ready = 1'd1;
reg sdram_tccdcon_count = 1'd0;
wire sdram_twtrcon_valid;
(* dont_touch = "true" *) reg sdram_twtrcon_ready = 1'd1;
reg [1:0] sdram_twtrcon_count = 2'd0;
wire sdram_read_available;
wire sdram_write_available;
reg sdram_en0 = 1'd0;
wire sdram_max_time0;
reg [4:0] sdram_time0 = 5'd0;
reg sdram_en1 = 1'd0;
wire sdram_max_time1;
reg [3:0] sdram_time1 = 4'd0;
wire sdram_go_to_refresh;
wire [29:0] interface1_wb_sdram_adr;
wire [31:0] interface1_wb_sdram_dat_w;
wire [31:0] interface1_wb_sdram_dat_r;
wire [3:0] interface1_wb_sdram_sel;
wire interface1_wb_sdram_cyc;
wire interface1_wb_sdram_stb;
wire interface1_wb_sdram_ack;
wire interface1_wb_sdram_we;
wire [2:0] interface1_wb_sdram_cti;
wire [1:0] interface1_wb_sdram_bte;
wire interface1_wb_sdram_err;
reg port_cmd_valid = 1'd0;
wire port_cmd_ready;
reg port_cmd_payload_we = 1'd0;
wire [23:0] port_cmd_payload_addr;
reg port_wdata_valid = 1'd0;
wire port_wdata_ready;
wire [127:0] port_wdata_payload_data;
wire [15:0] port_wdata_payload_we;
wire port_rdata_valid;
reg port_rdata_ready = 1'd0;
wire [127:0] port_rdata_payload_data;
wire [29:0] interface_adr;
wire [127:0] interface_dat_w;
wire [127:0] interface_dat_r;
wire [15:0] interface_sel;
reg interface_cyc = 1'd0;
reg interface_stb = 1'd0;
reg interface_ack = 1'd0;
reg interface_we = 1'd0;
wire [8:0] data_port_adr;
wire [127:0] data_port_dat_r;
reg [15:0] data_port_we = 16'd0;
reg [127:0] data_port_dat_w = 128'd0;
reg write_from_slave = 1'd0;
reg [1:0] adr_offset_r = 2'd0;
wire [8:0] tag_port_adr;
wire [23:0] tag_port_dat_r;
reg tag_port_we = 1'd0;
wire [23:0] tag_port_dat_w;
wire [22:0] tag_do_tag;
wire tag_do_dirty;
wire [22:0] tag_di_tag;
reg tag_di_dirty = 1'd0;
reg word_clr = 1'd0;
reg word_inc = 1'd0;
reg [1:0] refresher_state = 2'd0;
reg [1:0] refresher_next_state = 2'd0;
reg [3:0] bankmachine0_state = 4'd0;
reg [3:0] bankmachine0_next_state = 4'd0;
reg [3:0] bankmachine1_state = 4'd0;
reg [3:0] bankmachine1_next_state = 4'd0;
reg [3:0] bankmachine2_state = 4'd0;
reg [3:0] bankmachine2_next_state = 4'd0;
reg [3:0] bankmachine3_state = 4'd0;
reg [3:0] bankmachine3_next_state = 4'd0;
reg [3:0] bankmachine4_state = 4'd0;
reg [3:0] bankmachine4_next_state = 4'd0;
reg [3:0] bankmachine5_state = 4'd0;
reg [3:0] bankmachine5_next_state = 4'd0;
reg [3:0] bankmachine6_state = 4'd0;
reg [3:0] bankmachine6_next_state = 4'd0;
reg [3:0] bankmachine7_state = 4'd0;
reg [3:0] bankmachine7_next_state = 4'd0;
reg [3:0] multiplexer_state = 4'd0;
reg [3:0] multiplexer_next_state = 4'd0;
wire [2:0] cba;
wire [20:0] rca;
wire roundrobin0_request;
wire roundrobin0_grant;
wire roundrobin0_ce;
wire roundrobin1_request;
wire roundrobin1_grant;
wire roundrobin1_ce;
wire roundrobin2_request;
wire roundrobin2_grant;
wire roundrobin2_ce;
wire roundrobin3_request;
wire roundrobin3_grant;
wire roundrobin3_ce;
wire roundrobin4_request;
wire roundrobin4_grant;
wire roundrobin4_ce;
wire roundrobin5_request;
wire roundrobin5_grant;
wire roundrobin5_ce;
wire roundrobin6_request;
wire roundrobin6_grant;
wire roundrobin6_ce;
wire roundrobin7_request;
wire roundrobin7_grant;
wire roundrobin7_ce;
reg [2:0] rbank = 3'd0;
reg [2:0] wbank = 3'd0;
reg new_master_wdata_ready0 = 1'd0;
reg new_master_wdata_ready1 = 1'd0;
reg new_master_wdata_ready2 = 1'd0;
reg new_master_rdata_valid0 = 1'd0;
reg new_master_rdata_valid1 = 1'd0;
reg new_master_rdata_valid2 = 1'd0;
reg new_master_rdata_valid3 = 1'd0;
reg new_master_rdata_valid4 = 1'd0;
reg new_master_rdata_valid5 = 1'd0;
reg new_master_rdata_valid6 = 1'd0;
reg [2:0] new_master_rbank0 = 3'd0;
reg [2:0] new_master_rbank1 = 3'd0;
reg [2:0] new_master_rbank2 = 3'd0;
reg [2:0] new_master_rbank3 = 3'd0;
reg [2:0] new_master_rbank4 = 3'd0;
reg [2:0] new_master_rbank5 = 3'd0;
reg [2:0] new_master_wbank0 = 3'd0;
reg [2:0] new_master_wbank1 = 3'd0;
reg [2:0] fullmemorywe_state = 3'd0;
reg [2:0] fullmemorywe_next_state = 3'd0;
reg [1:0] litedramwishbone2native_state = 2'd0;
reg [1:0] litedramwishbone2native_next_state = 2'd0;
wire wb_sdram_con_request;
wire wb_sdram_con_grant;
wire [29:0] basesoc_shared_adr;
wire [31:0] basesoc_shared_dat_w;
reg [31:0] basesoc_shared_dat_r = 32'd0;
wire [3:0] basesoc_shared_sel;
wire basesoc_shared_cyc;
wire basesoc_shared_stb;
reg basesoc_shared_ack = 1'd0;
wire basesoc_shared_we;
wire [2:0] basesoc_shared_cti;
wire [1:0] basesoc_shared_bte;
wire basesoc_shared_err;
wire [1:0] basesoc_request;
reg basesoc_grant = 1'd0;
reg [3:0] basesoc_slave_sel = 4'd0;
reg [3:0] basesoc_slave_sel_r = 4'd0;
reg basesoc_error = 1'd0;
wire basesoc_wait;
wire basesoc_done;
reg [16:0] basesoc_count = 17'd65536;
wire [13:0] basesoc_interface0_bank_bus_adr;
wire basesoc_interface0_bank_bus_we;
wire [7:0] basesoc_interface0_bank_bus_dat_w;
reg [7:0] basesoc_interface0_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank0_scratch3_re;
wire [7:0] basesoc_csrbank0_scratch3_r;
wire [7:0] basesoc_csrbank0_scratch3_w;
wire basesoc_csrbank0_scratch2_re;
wire [7:0] basesoc_csrbank0_scratch2_r;
wire [7:0] basesoc_csrbank0_scratch2_w;
wire basesoc_csrbank0_scratch1_re;
wire [7:0] basesoc_csrbank0_scratch1_r;
wire [7:0] basesoc_csrbank0_scratch1_w;
wire basesoc_csrbank0_scratch0_re;
wire [7:0] basesoc_csrbank0_scratch0_r;
wire [7:0] basesoc_csrbank0_scratch0_w;
wire basesoc_csrbank0_bus_errors3_re;
wire [7:0] basesoc_csrbank0_bus_errors3_r;
wire [7:0] basesoc_csrbank0_bus_errors3_w;
wire basesoc_csrbank0_bus_errors2_re;
wire [7:0] basesoc_csrbank0_bus_errors2_r;
wire [7:0] basesoc_csrbank0_bus_errors2_w;
wire basesoc_csrbank0_bus_errors1_re;
wire [7:0] basesoc_csrbank0_bus_errors1_r;
wire [7:0] basesoc_csrbank0_bus_errors1_w;
wire basesoc_csrbank0_bus_errors0_re;
wire [7:0] basesoc_csrbank0_bus_errors0_r;
wire [7:0] basesoc_csrbank0_bus_errors0_w;
wire basesoc_csrbank0_sel;
wire [13:0] basesoc_interface1_bank_bus_adr;
wire basesoc_interface1_bank_bus_we;
wire [7:0] basesoc_interface1_bank_bus_dat_w;
reg [7:0] basesoc_interface1_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank1_half_sys8x_taps0_re;
wire [3:0] basesoc_csrbank1_half_sys8x_taps0_r;
wire [3:0] basesoc_csrbank1_half_sys8x_taps0_w;
wire basesoc_csrbank1_dly_sel0_re;
wire [1:0] basesoc_csrbank1_dly_sel0_r;
wire [1:0] basesoc_csrbank1_dly_sel0_w;
wire basesoc_csrbank1_sel;
wire [13:0] basesoc_interface2_bank_bus_adr;
wire basesoc_interface2_bank_bus_we;
wire [7:0] basesoc_interface2_bank_bus_dat_w;
reg [7:0] basesoc_interface2_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank2_dfii_control0_re;
wire [3:0] basesoc_csrbank2_dfii_control0_r;
wire [3:0] basesoc_csrbank2_dfii_control0_w;
wire basesoc_csrbank2_dfii_pi0_command0_re;
wire [5:0] basesoc_csrbank2_dfii_pi0_command0_r;
wire [5:0] basesoc_csrbank2_dfii_pi0_command0_w;
wire basesoc_csrbank2_dfii_pi0_address1_re;
wire [5:0] basesoc_csrbank2_dfii_pi0_address1_r;
wire [5:0] basesoc_csrbank2_dfii_pi0_address1_w;
wire basesoc_csrbank2_dfii_pi0_address0_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_address0_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_address0_w;
wire basesoc_csrbank2_dfii_pi0_baddress0_re;
wire [2:0] basesoc_csrbank2_dfii_pi0_baddress0_r;
wire [2:0] basesoc_csrbank2_dfii_pi0_baddress0_w;
wire basesoc_csrbank2_dfii_pi0_wrdata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata3_w;
wire basesoc_csrbank2_dfii_pi0_wrdata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata2_w;
wire basesoc_csrbank2_dfii_pi0_wrdata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata1_w;
wire basesoc_csrbank2_dfii_pi0_wrdata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata0_w;
wire basesoc_csrbank2_dfii_pi0_rddata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata3_w;
wire basesoc_csrbank2_dfii_pi0_rddata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata2_w;
wire basesoc_csrbank2_dfii_pi0_rddata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata1_w;
wire basesoc_csrbank2_dfii_pi0_rddata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata0_w;
wire basesoc_csrbank2_dfii_pi1_command0_re;
wire [5:0] basesoc_csrbank2_dfii_pi1_command0_r;
wire [5:0] basesoc_csrbank2_dfii_pi1_command0_w;
wire basesoc_csrbank2_dfii_pi1_address1_re;
wire [5:0] basesoc_csrbank2_dfii_pi1_address1_r;
wire [5:0] basesoc_csrbank2_dfii_pi1_address1_w;
wire basesoc_csrbank2_dfii_pi1_address0_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_address0_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_address0_w;
wire basesoc_csrbank2_dfii_pi1_baddress0_re;
wire [2:0] basesoc_csrbank2_dfii_pi1_baddress0_r;
wire [2:0] basesoc_csrbank2_dfii_pi1_baddress0_w;
wire basesoc_csrbank2_dfii_pi1_wrdata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata3_w;
wire basesoc_csrbank2_dfii_pi1_wrdata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata2_w;
wire basesoc_csrbank2_dfii_pi1_wrdata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata1_w;
wire basesoc_csrbank2_dfii_pi1_wrdata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata0_w;
wire basesoc_csrbank2_dfii_pi1_rddata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata3_w;
wire basesoc_csrbank2_dfii_pi1_rddata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata2_w;
wire basesoc_csrbank2_dfii_pi1_rddata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata1_w;
wire basesoc_csrbank2_dfii_pi1_rddata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata0_w;
wire basesoc_csrbank2_dfii_pi2_command0_re;
wire [5:0] basesoc_csrbank2_dfii_pi2_command0_r;
wire [5:0] basesoc_csrbank2_dfii_pi2_command0_w;
wire basesoc_csrbank2_dfii_pi2_address1_re;
wire [5:0] basesoc_csrbank2_dfii_pi2_address1_r;
wire [5:0] basesoc_csrbank2_dfii_pi2_address1_w;
wire basesoc_csrbank2_dfii_pi2_address0_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_address0_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_address0_w;
wire basesoc_csrbank2_dfii_pi2_baddress0_re;
wire [2:0] basesoc_csrbank2_dfii_pi2_baddress0_r;
wire [2:0] basesoc_csrbank2_dfii_pi2_baddress0_w;
wire basesoc_csrbank2_dfii_pi2_wrdata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata3_w;
wire basesoc_csrbank2_dfii_pi2_wrdata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata2_w;
wire basesoc_csrbank2_dfii_pi2_wrdata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata1_w;
wire basesoc_csrbank2_dfii_pi2_wrdata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata0_w;
wire basesoc_csrbank2_dfii_pi2_rddata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata3_w;
wire basesoc_csrbank2_dfii_pi2_rddata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata2_w;
wire basesoc_csrbank2_dfii_pi2_rddata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata1_w;
wire basesoc_csrbank2_dfii_pi2_rddata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata0_w;
wire basesoc_csrbank2_dfii_pi3_command0_re;
wire [5:0] basesoc_csrbank2_dfii_pi3_command0_r;
wire [5:0] basesoc_csrbank2_dfii_pi3_command0_w;
wire basesoc_csrbank2_dfii_pi3_address1_re;
wire [5:0] basesoc_csrbank2_dfii_pi3_address1_r;
wire [5:0] basesoc_csrbank2_dfii_pi3_address1_w;
wire basesoc_csrbank2_dfii_pi3_address0_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_address0_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_address0_w;
wire basesoc_csrbank2_dfii_pi3_baddress0_re;
wire [2:0] basesoc_csrbank2_dfii_pi3_baddress0_r;
wire [2:0] basesoc_csrbank2_dfii_pi3_baddress0_w;
wire basesoc_csrbank2_dfii_pi3_wrdata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata3_w;
wire basesoc_csrbank2_dfii_pi3_wrdata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata2_w;
wire basesoc_csrbank2_dfii_pi3_wrdata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata1_w;
wire basesoc_csrbank2_dfii_pi3_wrdata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata0_w;
wire basesoc_csrbank2_dfii_pi3_rddata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata3_w;
wire basesoc_csrbank2_dfii_pi3_rddata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata2_w;
wire basesoc_csrbank2_dfii_pi3_rddata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata1_w;
wire basesoc_csrbank2_dfii_pi3_rddata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata0_w;
wire basesoc_csrbank2_sel;
wire [13:0] basesoc_interface3_bank_bus_adr;
wire basesoc_interface3_bank_bus_we;
wire [7:0] basesoc_interface3_bank_bus_dat_w;
reg [7:0] basesoc_interface3_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank3_load3_re;
wire [7:0] basesoc_csrbank3_load3_r;
wire [7:0] basesoc_csrbank3_load3_w;
wire basesoc_csrbank3_load2_re;
wire [7:0] basesoc_csrbank3_load2_r;
wire [7:0] basesoc_csrbank3_load2_w;
wire basesoc_csrbank3_load1_re;
wire [7:0] basesoc_csrbank3_load1_r;
wire [7:0] basesoc_csrbank3_load1_w;
wire basesoc_csrbank3_load0_re;
wire [7:0] basesoc_csrbank3_load0_r;
wire [7:0] basesoc_csrbank3_load0_w;
wire basesoc_csrbank3_reload3_re;
wire [7:0] basesoc_csrbank3_reload3_r;
wire [7:0] basesoc_csrbank3_reload3_w;
wire basesoc_csrbank3_reload2_re;
wire [7:0] basesoc_csrbank3_reload2_r;
wire [7:0] basesoc_csrbank3_reload2_w;
wire basesoc_csrbank3_reload1_re;
wire [7:0] basesoc_csrbank3_reload1_r;
wire [7:0] basesoc_csrbank3_reload1_w;
wire basesoc_csrbank3_reload0_re;
wire [7:0] basesoc_csrbank3_reload0_r;
wire [7:0] basesoc_csrbank3_reload0_w;
wire basesoc_csrbank3_en0_re;
wire basesoc_csrbank3_en0_r;
wire basesoc_csrbank3_en0_w;
wire basesoc_csrbank3_value3_re;
wire [7:0] basesoc_csrbank3_value3_r;
wire [7:0] basesoc_csrbank3_value3_w;
wire basesoc_csrbank3_value2_re;
wire [7:0] basesoc_csrbank3_value2_r;
wire [7:0] basesoc_csrbank3_value2_w;
wire basesoc_csrbank3_value1_re;
wire [7:0] basesoc_csrbank3_value1_r;
wire [7:0] basesoc_csrbank3_value1_w;
wire basesoc_csrbank3_value0_re;
wire [7:0] basesoc_csrbank3_value0_r;
wire [7:0] basesoc_csrbank3_value0_w;
wire basesoc_csrbank3_ev_enable0_re;
wire basesoc_csrbank3_ev_enable0_r;
wire basesoc_csrbank3_ev_enable0_w;
wire basesoc_csrbank3_sel;
wire [13:0] basesoc_interface4_bank_bus_adr;
wire basesoc_interface4_bank_bus_we;
wire [7:0] basesoc_interface4_bank_bus_dat_w;
reg [7:0] basesoc_interface4_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank4_txfull_re;
wire basesoc_csrbank4_txfull_r;
wire basesoc_csrbank4_txfull_w;
wire basesoc_csrbank4_rxempty_re;
wire basesoc_csrbank4_rxempty_r;
wire basesoc_csrbank4_rxempty_w;
wire basesoc_csrbank4_ev_enable0_re;
wire [1:0] basesoc_csrbank4_ev_enable0_r;
wire [1:0] basesoc_csrbank4_ev_enable0_w;
wire basesoc_csrbank4_sel;
wire [13:0] basesoc_interface5_bank_bus_adr;
wire basesoc_interface5_bank_bus_we;
wire [7:0] basesoc_interface5_bank_bus_dat_w;
reg [7:0] basesoc_interface5_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank5_tuning_word3_re;
wire [7:0] basesoc_csrbank5_tuning_word3_r;
wire [7:0] basesoc_csrbank5_tuning_word3_w;
wire basesoc_csrbank5_tuning_word2_re;
wire [7:0] basesoc_csrbank5_tuning_word2_r;
wire [7:0] basesoc_csrbank5_tuning_word2_w;
wire basesoc_csrbank5_tuning_word1_re;
wire [7:0] basesoc_csrbank5_tuning_word1_r;
wire [7:0] basesoc_csrbank5_tuning_word1_w;
wire basesoc_csrbank5_tuning_word0_re;
wire [7:0] basesoc_csrbank5_tuning_word0_r;
wire [7:0] basesoc_csrbank5_tuning_word0_w;
wire basesoc_csrbank5_sel;
reg rhs_array_muxed0 = 1'd0;
reg [13:0] rhs_array_muxed1 = 14'd0;
reg [2:0] rhs_array_muxed2 = 3'd0;
reg rhs_array_muxed3 = 1'd0;
reg rhs_array_muxed4 = 1'd0;
reg rhs_array_muxed5 = 1'd0;
reg t_array_muxed0 = 1'd0;
reg t_array_muxed1 = 1'd0;
reg t_array_muxed2 = 1'd0;
reg rhs_array_muxed6 = 1'd0;
reg [13:0] rhs_array_muxed7 = 14'd0;
reg [2:0] rhs_array_muxed8 = 3'd0;
reg rhs_array_muxed9 = 1'd0;
reg rhs_array_muxed10 = 1'd0;
reg rhs_array_muxed11 = 1'd0;
reg t_array_muxed3 = 1'd0;
reg t_array_muxed4 = 1'd0;
reg t_array_muxed5 = 1'd0;
reg [20:0] rhs_array_muxed12 = 21'd0;
reg rhs_array_muxed13 = 1'd0;
reg rhs_array_muxed14 = 1'd0;
reg [20:0] rhs_array_muxed15 = 21'd0;
reg rhs_array_muxed16 = 1'd0;
reg rhs_array_muxed17 = 1'd0;
reg [20:0] rhs_array_muxed18 = 21'd0;
reg rhs_array_muxed19 = 1'd0;
reg rhs_array_muxed20 = 1'd0;
reg [20:0] rhs_array_muxed21 = 21'd0;
reg rhs_array_muxed22 = 1'd0;
reg rhs_array_muxed23 = 1'd0;
reg [20:0] rhs_array_muxed24 = 21'd0;
reg rhs_array_muxed25 = 1'd0;
reg rhs_array_muxed26 = 1'd0;
reg [20:0] rhs_array_muxed27 = 21'd0;
reg rhs_array_muxed28 = 1'd0;
reg rhs_array_muxed29 = 1'd0;
reg [20:0] rhs_array_muxed30 = 21'd0;
reg rhs_array_muxed31 = 1'd0;
reg rhs_array_muxed32 = 1'd0;
reg [20:0] rhs_array_muxed33 = 21'd0;
reg rhs_array_muxed34 = 1'd0;
reg rhs_array_muxed35 = 1'd0;
reg [29:0] rhs_array_muxed36 = 30'd0;
reg [31:0] rhs_array_muxed37 = 32'd0;
reg [3:0] rhs_array_muxed38 = 4'd0;
reg rhs_array_muxed39 = 1'd0;
reg rhs_array_muxed40 = 1'd0;
reg rhs_array_muxed41 = 1'd0;
reg [2:0] rhs_array_muxed42 = 3'd0;
reg [1:0] rhs_array_muxed43 = 2'd0;
reg [29:0] rhs_array_muxed44 = 30'd0;
reg [31:0] rhs_array_muxed45 = 32'd0;
reg [3:0] rhs_array_muxed46 = 4'd0;
reg rhs_array_muxed47 = 1'd0;
reg rhs_array_muxed48 = 1'd0;
reg rhs_array_muxed49 = 1'd0;
reg [2:0] rhs_array_muxed50 = 3'd0;
reg [1:0] rhs_array_muxed51 = 2'd0;
reg [2:0] array_muxed0 = 3'd0;
reg [13:0] array_muxed1 = 14'd0;
reg array_muxed2 = 1'd0;
reg array_muxed3 = 1'd0;
reg array_muxed4 = 1'd0;
reg array_muxed5 = 1'd0;
reg array_muxed6 = 1'd0;
reg [2:0] array_muxed7 = 3'd0;
reg [13:0] array_muxed8 = 14'd0;
reg array_muxed9 = 1'd0;
reg array_muxed10 = 1'd0;
reg array_muxed11 = 1'd0;
reg array_muxed12 = 1'd0;
reg array_muxed13 = 1'd0;
reg [2:0] array_muxed14 = 3'd0;
reg [13:0] array_muxed15 = 14'd0;
reg array_muxed16 = 1'd0;
reg array_muxed17 = 1'd0;
reg array_muxed18 = 1'd0;
reg array_muxed19 = 1'd0;
reg array_muxed20 = 1'd0;
reg [2:0] array_muxed21 = 3'd0;
reg [13:0] array_muxed22 = 14'd0;
reg array_muxed23 = 1'd0;
reg array_muxed24 = 1'd0;
reg array_muxed25 = 1'd0;
reg array_muxed26 = 1'd0;
reg array_muxed27 = 1'd0;
(* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg regs0 = 1'd0;
(* async_reg = "true", dont_touch = "true" *) reg regs1 = 1'd0;
wire xilinxasyncresetsynchronizerimpl0;
wire xilinxasyncresetsynchronizerimpl0_rst_meta;
wire xilinxasyncresetsynchronizerimpl1;
wire xilinxasyncresetsynchronizerimpl1_rst_meta;
wire xilinxasyncresetsynchronizerimpl2;
wire xilinxasyncresetsynchronizerimpl2_rst_meta;
// synthesis translate_off
reg dummy_s;
initial dummy_s <= 1'd0;
// synthesis translate_on
assign basesoc_lm32_reset = basesoc_ctrl_reset;
assign basesoc_ctrl_bus_error = basesoc_error;
// synthesis translate_off
reg dummy_d;
// synthesis translate_on
always @(*) begin
basesoc_lm32_interrupt <= 32'd0;
basesoc_lm32_interrupt[1] <= basesoc_timer0_irq;
basesoc_lm32_interrupt[2] <= basesoc_uart_irq;
// synthesis translate_off
dummy_d = dummy_s;
// synthesis translate_on
end
assign basesoc_ctrl_reset = basesoc_ctrl_reset_reset_re;
assign basesoc_ctrl_bus_errors_status = basesoc_ctrl_bus_errors;
assign basesoc_lm32_ibus_adr = basesoc_lm32_i_adr_o[31:2];
assign basesoc_lm32_dbus_adr = basesoc_lm32_d_adr_o[31:2];
assign basesoc_rom_adr = basesoc_rom_bus_adr[12:0];
assign basesoc_rom_bus_dat_r = basesoc_rom_dat_r;
// synthesis translate_off
reg dummy_d_1;
// synthesis translate_on
always @(*) begin
basesoc_sram_we <= 4'd0;
basesoc_sram_we[0] <= (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & basesoc_sram_bus_we) & basesoc_sram_bus_sel[0]);
basesoc_sram_we[1] <= (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & basesoc_sram_bus_we) & basesoc_sram_bus_sel[1]);
basesoc_sram_we[2] <= (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & basesoc_sram_bus_we) & basesoc_sram_bus_sel[2]);
basesoc_sram_we[3] <= (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & basesoc_sram_bus_we) & basesoc_sram_bus_sel[3]);
// synthesis translate_off
dummy_d_1 = dummy_s;
// synthesis translate_on
end
assign basesoc_sram_adr = basesoc_sram_bus_adr[12:0];
assign basesoc_sram_bus_dat_r = basesoc_sram_dat_r;
assign basesoc_sram_dat_w = basesoc_sram_bus_dat_w;
assign basesoc_uart_tx_fifo_sink_valid = basesoc_uart_rxtx_re;
assign basesoc_uart_tx_fifo_sink_payload_data = basesoc_uart_rxtx_r;
assign basesoc_uart_txfull_status = (~basesoc_uart_tx_fifo_sink_ready);
assign basesoc_uart_phy_sink_valid = basesoc_uart_tx_fifo_source_valid;
assign basesoc_uart_tx_fifo_source_ready = basesoc_uart_phy_sink_ready;
assign basesoc_uart_phy_sink_first = basesoc_uart_tx_fifo_source_first;
assign basesoc_uart_phy_sink_last = basesoc_uart_tx_fifo_source_last;
assign basesoc_uart_phy_sink_payload_data = basesoc_uart_tx_fifo_source_payload_data;
assign basesoc_uart_tx_trigger = (~basesoc_uart_tx_fifo_sink_ready);
assign basesoc_uart_rx_fifo_sink_valid = basesoc_uart_phy_source_valid;
assign basesoc_uart_phy_source_ready = basesoc_uart_rx_fifo_sink_ready;
assign basesoc_uart_rx_fifo_sink_first = basesoc_uart_phy_source_first;
assign basesoc_uart_rx_fifo_sink_last = basesoc_uart_phy_source_last;
assign basesoc_uart_rx_fifo_sink_payload_data = basesoc_uart_phy_source_payload_data;
assign basesoc_uart_rxempty_status = (~basesoc_uart_rx_fifo_source_valid);
assign basesoc_uart_rxtx_w = basesoc_uart_rx_fifo_source_payload_data;
assign basesoc_uart_rx_fifo_source_ready = basesoc_uart_rx_clear;
assign basesoc_uart_rx_trigger = (~basesoc_uart_rx_fifo_source_valid);
// synthesis translate_off
reg dummy_d_2;
// synthesis translate_on
always @(*) begin
basesoc_uart_status_w <= 2'd0;
basesoc_uart_status_w[0] <= basesoc_uart_tx_status;
basesoc_uart_status_w[1] <= basesoc_uart_rx_status;
// synthesis translate_off
dummy_d_2 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_3;
// synthesis translate_on
always @(*) begin
basesoc_uart_tx_clear <= 1'd0;
if ((basesoc_uart_pending_re & basesoc_uart_pending_r[0])) begin
basesoc_uart_tx_clear <= 1'd1;
end
// synthesis translate_off
dummy_d_3 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_4;
// synthesis translate_on
always @(*) begin
basesoc_uart_pending_w <= 2'd0;
basesoc_uart_pending_w[0] <= basesoc_uart_tx_pending;
basesoc_uart_pending_w[1] <= basesoc_uart_rx_pending;
// synthesis translate_off
dummy_d_4 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_5;
// synthesis translate_on
always @(*) begin
basesoc_uart_rx_clear <= 1'd0;
if ((basesoc_uart_pending_re & basesoc_uart_pending_r[1])) begin
basesoc_uart_rx_clear <= 1'd1;
end
// synthesis translate_off
dummy_d_5 = dummy_s;
// synthesis translate_on
end
assign basesoc_uart_irq = ((basesoc_uart_pending_w[0] & basesoc_uart_storage[0]) | (basesoc_uart_pending_w[1] & basesoc_uart_storage[1]));
assign basesoc_uart_tx_status = basesoc_uart_tx_trigger;
assign basesoc_uart_rx_status = basesoc_uart_rx_trigger;
assign basesoc_uart_tx_fifo_syncfifo_din = {basesoc_uart_tx_fifo_fifo_in_last, basesoc_uart_tx_fifo_fifo_in_first, basesoc_uart_tx_fifo_fifo_in_payload_data};
assign {basesoc_uart_tx_fifo_fifo_out_last, basesoc_uart_tx_fifo_fifo_out_first, basesoc_uart_tx_fifo_fifo_out_payload_data} = basesoc_uart_tx_fifo_syncfifo_dout;
assign {basesoc_uart_tx_fifo_fifo_out_last, basesoc_uart_tx_fifo_fifo_out_first, basesoc_uart_tx_fifo_fifo_out_payload_data} = basesoc_uart_tx_fifo_syncfifo_dout;
assign {basesoc_uart_tx_fifo_fifo_out_last, basesoc_uart_tx_fifo_fifo_out_first, basesoc_uart_tx_fifo_fifo_out_payload_data} = basesoc_uart_tx_fifo_syncfifo_dout;
assign basesoc_uart_tx_fifo_sink_ready = basesoc_uart_tx_fifo_syncfifo_writable;
assign basesoc_uart_tx_fifo_syncfifo_we = basesoc_uart_tx_fifo_sink_valid;
assign basesoc_uart_tx_fifo_fifo_in_first = basesoc_uart_tx_fifo_sink_first;
assign basesoc_uart_tx_fifo_fifo_in_last = basesoc_uart_tx_fifo_sink_last;
assign basesoc_uart_tx_fifo_fifo_in_payload_data = basesoc_uart_tx_fifo_sink_payload_data;
assign basesoc_uart_tx_fifo_source_valid = basesoc_uart_tx_fifo_syncfifo_readable;
assign basesoc_uart_tx_fifo_source_first = basesoc_uart_tx_fifo_fifo_out_first;
assign basesoc_uart_tx_fifo_source_last = basesoc_uart_tx_fifo_fifo_out_last;
assign basesoc_uart_tx_fifo_source_payload_data = basesoc_uart_tx_fifo_fifo_out_payload_data;
assign basesoc_uart_tx_fifo_syncfifo_re = basesoc_uart_tx_fifo_source_ready;
// synthesis translate_off
reg dummy_d_6;
// synthesis translate_on
always @(*) begin
basesoc_uart_tx_fifo_wrport_adr <= 4'd0;
if (basesoc_uart_tx_fifo_replace) begin
basesoc_uart_tx_fifo_wrport_adr <= (basesoc_uart_tx_fifo_produce - 1'd1);
end else begin
basesoc_uart_tx_fifo_wrport_adr <= basesoc_uart_tx_fifo_produce;
end
// synthesis translate_off
dummy_d_6 = dummy_s;
// synthesis translate_on
end
assign basesoc_uart_tx_fifo_wrport_dat_w = basesoc_uart_tx_fifo_syncfifo_din;
assign basesoc_uart_tx_fifo_wrport_we = (basesoc_uart_tx_fifo_syncfifo_we & (basesoc_uart_tx_fifo_syncfifo_writable | basesoc_uart_tx_fifo_replace));
assign basesoc_uart_tx_fifo_do_read = (basesoc_uart_tx_fifo_syncfifo_readable & basesoc_uart_tx_fifo_syncfifo_re);
assign basesoc_uart_tx_fifo_rdport_adr = basesoc_uart_tx_fifo_consume;
assign basesoc_uart_tx_fifo_syncfifo_dout = basesoc_uart_tx_fifo_rdport_dat_r;
assign basesoc_uart_tx_fifo_syncfifo_writable = (basesoc_uart_tx_fifo_level != 5'd16);
assign basesoc_uart_tx_fifo_syncfifo_readable = (basesoc_uart_tx_fifo_level != 1'd0);
assign basesoc_uart_rx_fifo_syncfifo_din = {basesoc_uart_rx_fifo_fifo_in_last, basesoc_uart_rx_fifo_fifo_in_first, basesoc_uart_rx_fifo_fifo_in_payload_data};
assign {basesoc_uart_rx_fifo_fifo_out_last, basesoc_uart_rx_fifo_fifo_out_first, basesoc_uart_rx_fifo_fifo_out_payload_data} = basesoc_uart_rx_fifo_syncfifo_dout;
assign {basesoc_uart_rx_fifo_fifo_out_last, basesoc_uart_rx_fifo_fifo_out_first, basesoc_uart_rx_fifo_fifo_out_payload_data} = basesoc_uart_rx_fifo_syncfifo_dout;
assign {basesoc_uart_rx_fifo_fifo_out_last, basesoc_uart_rx_fifo_fifo_out_first, basesoc_uart_rx_fifo_fifo_out_payload_data} = basesoc_uart_rx_fifo_syncfifo_dout;
assign basesoc_uart_rx_fifo_sink_ready = basesoc_uart_rx_fifo_syncfifo_writable;
assign basesoc_uart_rx_fifo_syncfifo_we = basesoc_uart_rx_fifo_sink_valid;
assign basesoc_uart_rx_fifo_fifo_in_first = basesoc_uart_rx_fifo_sink_first;
assign basesoc_uart_rx_fifo_fifo_in_last = basesoc_uart_rx_fifo_sink_last;
assign basesoc_uart_rx_fifo_fifo_in_payload_data = basesoc_uart_rx_fifo_sink_payload_data;
assign basesoc_uart_rx_fifo_source_valid = basesoc_uart_rx_fifo_syncfifo_readable;
assign basesoc_uart_rx_fifo_source_first = basesoc_uart_rx_fifo_fifo_out_first;
assign basesoc_uart_rx_fifo_source_last = basesoc_uart_rx_fifo_fifo_out_last;
assign basesoc_uart_rx_fifo_source_payload_data = basesoc_uart_rx_fifo_fifo_out_payload_data;
assign basesoc_uart_rx_fifo_syncfifo_re = basesoc_uart_rx_fifo_source_ready;
// synthesis translate_off
reg dummy_d_7;
// synthesis translate_on
always @(*) begin
basesoc_uart_rx_fifo_wrport_adr <= 4'd0;
if (basesoc_uart_rx_fifo_replace) begin
basesoc_uart_rx_fifo_wrport_adr <= (basesoc_uart_rx_fifo_produce - 1'd1);
end else begin
basesoc_uart_rx_fifo_wrport_adr <= basesoc_uart_rx_fifo_produce;
end
// synthesis translate_off
dummy_d_7 = dummy_s;
// synthesis translate_on
end
assign basesoc_uart_rx_fifo_wrport_dat_w = basesoc_uart_rx_fifo_syncfifo_din;
assign basesoc_uart_rx_fifo_wrport_we = (basesoc_uart_rx_fifo_syncfifo_we & (basesoc_uart_rx_fifo_syncfifo_writable | basesoc_uart_rx_fifo_replace));
assign basesoc_uart_rx_fifo_do_read = (basesoc_uart_rx_fifo_syncfifo_readable & basesoc_uart_rx_fifo_syncfifo_re);
assign basesoc_uart_rx_fifo_rdport_adr = basesoc_uart_rx_fifo_consume;
assign basesoc_uart_rx_fifo_syncfifo_dout = basesoc_uart_rx_fifo_rdport_dat_r;
assign basesoc_uart_rx_fifo_syncfifo_writable = (basesoc_uart_rx_fifo_level != 5'd16);
assign basesoc_uart_rx_fifo_syncfifo_readable = (basesoc_uart_rx_fifo_level != 1'd0);
assign basesoc_timer0_zero_trigger = (basesoc_timer0_value != 1'd0);
assign basesoc_timer0_eventmanager_status_w = basesoc_timer0_zero_status;
// synthesis translate_off
reg dummy_d_8;
// synthesis translate_on
always @(*) begin
basesoc_timer0_zero_clear <= 1'd0;
if ((basesoc_timer0_eventmanager_pending_re & basesoc_timer0_eventmanager_pending_r)) begin
basesoc_timer0_zero_clear <= 1'd1;
end
// synthesis translate_off
dummy_d_8 = dummy_s;
// synthesis translate_on
end
assign basesoc_timer0_eventmanager_pending_w = basesoc_timer0_zero_pending;
assign basesoc_timer0_irq = (basesoc_timer0_eventmanager_pending_w & basesoc_timer0_eventmanager_storage);
assign basesoc_timer0_zero_status = basesoc_timer0_zero_trigger;
// synthesis translate_off
reg dummy_d_9;
// synthesis translate_on
always @(*) begin
a7ddrphy_dqs_serdes_pattern <= 8'd85;
if ((a7ddrphy_dqs_preamble | a7ddrphy_dqs_postamble)) begin
a7ddrphy_dqs_serdes_pattern <= 1'd0;
end else begin
a7ddrphy_dqs_serdes_pattern <= 7'd85;
end
// synthesis translate_off
dummy_d_9 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_10;
// synthesis translate_on
always @(*) begin
a7ddrphy_dfi_p0_rddata <= 32'd0;
a7ddrphy_dfi_p0_rddata[0] <= a7ddrphy_dq_i_data0[7];
a7ddrphy_dfi_p0_rddata[16] <= a7ddrphy_dq_i_data0[6];
a7ddrphy_dfi_p0_rddata[1] <= a7ddrphy_dq_i_data1[7];
a7ddrphy_dfi_p0_rddata[17] <= a7ddrphy_dq_i_data1[6];
a7ddrphy_dfi_p0_rddata[2] <= a7ddrphy_dq_i_data2[7];
a7ddrphy_dfi_p0_rddata[18] <= a7ddrphy_dq_i_data2[6];
a7ddrphy_dfi_p0_rddata[3] <= a7ddrphy_dq_i_data3[7];
a7ddrphy_dfi_p0_rddata[19] <= a7ddrphy_dq_i_data3[6];
a7ddrphy_dfi_p0_rddata[4] <= a7ddrphy_dq_i_data4[7];
a7ddrphy_dfi_p0_rddata[20] <= a7ddrphy_dq_i_data4[6];
a7ddrphy_dfi_p0_rddata[5] <= a7ddrphy_dq_i_data5[7];
a7ddrphy_dfi_p0_rddata[21] <= a7ddrphy_dq_i_data5[6];
a7ddrphy_dfi_p0_rddata[6] <= a7ddrphy_dq_i_data6[7];
a7ddrphy_dfi_p0_rddata[22] <= a7ddrphy_dq_i_data6[6];
a7ddrphy_dfi_p0_rddata[7] <= a7ddrphy_dq_i_data7[7];
a7ddrphy_dfi_p0_rddata[23] <= a7ddrphy_dq_i_data7[6];
a7ddrphy_dfi_p0_rddata[8] <= a7ddrphy_dq_i_data8[7];
a7ddrphy_dfi_p0_rddata[24] <= a7ddrphy_dq_i_data8[6];
a7ddrphy_dfi_p0_rddata[9] <= a7ddrphy_dq_i_data9[7];
a7ddrphy_dfi_p0_rddata[25] <= a7ddrphy_dq_i_data9[6];
a7ddrphy_dfi_p0_rddata[10] <= a7ddrphy_dq_i_data10[7];
a7ddrphy_dfi_p0_rddata[26] <= a7ddrphy_dq_i_data10[6];
a7ddrphy_dfi_p0_rddata[11] <= a7ddrphy_dq_i_data11[7];
a7ddrphy_dfi_p0_rddata[27] <= a7ddrphy_dq_i_data11[6];
a7ddrphy_dfi_p0_rddata[12] <= a7ddrphy_dq_i_data12[7];
a7ddrphy_dfi_p0_rddata[28] <= a7ddrphy_dq_i_data12[6];
a7ddrphy_dfi_p0_rddata[13] <= a7ddrphy_dq_i_data13[7];
a7ddrphy_dfi_p0_rddata[29] <= a7ddrphy_dq_i_data13[6];
a7ddrphy_dfi_p0_rddata[14] <= a7ddrphy_dq_i_data14[7];
a7ddrphy_dfi_p0_rddata[30] <= a7ddrphy_dq_i_data14[6];
a7ddrphy_dfi_p0_rddata[15] <= a7ddrphy_dq_i_data15[7];
a7ddrphy_dfi_p0_rddata[31] <= a7ddrphy_dq_i_data15[6];
// synthesis translate_off
dummy_d_10 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_11;
// synthesis translate_on
always @(*) begin
a7ddrphy_dfi_p1_rddata <= 32'd0;
a7ddrphy_dfi_p1_rddata[0] <= a7ddrphy_dq_i_data0[5];
a7ddrphy_dfi_p1_rddata[16] <= a7ddrphy_dq_i_data0[4];
a7ddrphy_dfi_p1_rddata[1] <= a7ddrphy_dq_i_data1[5];
a7ddrphy_dfi_p1_rddata[17] <= a7ddrphy_dq_i_data1[4];
a7ddrphy_dfi_p1_rddata[2] <= a7ddrphy_dq_i_data2[5];
a7ddrphy_dfi_p1_rddata[18] <= a7ddrphy_dq_i_data2[4];
a7ddrphy_dfi_p1_rddata[3] <= a7ddrphy_dq_i_data3[5];
a7ddrphy_dfi_p1_rddata[19] <= a7ddrphy_dq_i_data3[4];
a7ddrphy_dfi_p1_rddata[4] <= a7ddrphy_dq_i_data4[5];
a7ddrphy_dfi_p1_rddata[20] <= a7ddrphy_dq_i_data4[4];
a7ddrphy_dfi_p1_rddata[5] <= a7ddrphy_dq_i_data5[5];
a7ddrphy_dfi_p1_rddata[21] <= a7ddrphy_dq_i_data5[4];
a7ddrphy_dfi_p1_rddata[6] <= a7ddrphy_dq_i_data6[5];
a7ddrphy_dfi_p1_rddata[22] <= a7ddrphy_dq_i_data6[4];
a7ddrphy_dfi_p1_rddata[7] <= a7ddrphy_dq_i_data7[5];
a7ddrphy_dfi_p1_rddata[23] <= a7ddrphy_dq_i_data7[4];
a7ddrphy_dfi_p1_rddata[8] <= a7ddrphy_dq_i_data8[5];
a7ddrphy_dfi_p1_rddata[24] <= a7ddrphy_dq_i_data8[4];
a7ddrphy_dfi_p1_rddata[9] <= a7ddrphy_dq_i_data9[5];
a7ddrphy_dfi_p1_rddata[25] <= a7ddrphy_dq_i_data9[4];
a7ddrphy_dfi_p1_rddata[10] <= a7ddrphy_dq_i_data10[5];
a7ddrphy_dfi_p1_rddata[26] <= a7ddrphy_dq_i_data10[4];
a7ddrphy_dfi_p1_rddata[11] <= a7ddrphy_dq_i_data11[5];
a7ddrphy_dfi_p1_rddata[27] <= a7ddrphy_dq_i_data11[4];
a7ddrphy_dfi_p1_rddata[12] <= a7ddrphy_dq_i_data12[5];
a7ddrphy_dfi_p1_rddata[28] <= a7ddrphy_dq_i_data12[4];
a7ddrphy_dfi_p1_rddata[13] <= a7ddrphy_dq_i_data13[5];
a7ddrphy_dfi_p1_rddata[29] <= a7ddrphy_dq_i_data13[4];
a7ddrphy_dfi_p1_rddata[14] <= a7ddrphy_dq_i_data14[5];
a7ddrphy_dfi_p1_rddata[30] <= a7ddrphy_dq_i_data14[4];
a7ddrphy_dfi_p1_rddata[15] <= a7ddrphy_dq_i_data15[5];
a7ddrphy_dfi_p1_rddata[31] <= a7ddrphy_dq_i_data15[4];
// synthesis translate_off
dummy_d_11 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_12;
// synthesis translate_on
always @(*) begin
a7ddrphy_dfi_p2_rddata <= 32'd0;
a7ddrphy_dfi_p2_rddata[0] <= a7ddrphy_dq_i_data0[3];
a7ddrphy_dfi_p2_rddata[16] <= a7ddrphy_dq_i_data0[2];
a7ddrphy_dfi_p2_rddata[1] <= a7ddrphy_dq_i_data1[3];
a7ddrphy_dfi_p2_rddata[17] <= a7ddrphy_dq_i_data1[2];
a7ddrphy_dfi_p2_rddata[2] <= a7ddrphy_dq_i_data2[3];
a7ddrphy_dfi_p2_rddata[18] <= a7ddrphy_dq_i_data2[2];
a7ddrphy_dfi_p2_rddata[3] <= a7ddrphy_dq_i_data3[3];
a7ddrphy_dfi_p2_rddata[19] <= a7ddrphy_dq_i_data3[2];
a7ddrphy_dfi_p2_rddata[4] <= a7ddrphy_dq_i_data4[3];
a7ddrphy_dfi_p2_rddata[20] <= a7ddrphy_dq_i_data4[2];
a7ddrphy_dfi_p2_rddata[5] <= a7ddrphy_dq_i_data5[3];
a7ddrphy_dfi_p2_rddata[21] <= a7ddrphy_dq_i_data5[2];
a7ddrphy_dfi_p2_rddata[6] <= a7ddrphy_dq_i_data6[3];
a7ddrphy_dfi_p2_rddata[22] <= a7ddrphy_dq_i_data6[2];
a7ddrphy_dfi_p2_rddata[7] <= a7ddrphy_dq_i_data7[3];
a7ddrphy_dfi_p2_rddata[23] <= a7ddrphy_dq_i_data7[2];
a7ddrphy_dfi_p2_rddata[8] <= a7ddrphy_dq_i_data8[3];
a7ddrphy_dfi_p2_rddata[24] <= a7ddrphy_dq_i_data8[2];
a7ddrphy_dfi_p2_rddata[9] <= a7ddrphy_dq_i_data9[3];
a7ddrphy_dfi_p2_rddata[25] <= a7ddrphy_dq_i_data9[2];
a7ddrphy_dfi_p2_rddata[10] <= a7ddrphy_dq_i_data10[3];
a7ddrphy_dfi_p2_rddata[26] <= a7ddrphy_dq_i_data10[2];
a7ddrphy_dfi_p2_rddata[11] <= a7ddrphy_dq_i_data11[3];
a7ddrphy_dfi_p2_rddata[27] <= a7ddrphy_dq_i_data11[2];
a7ddrphy_dfi_p2_rddata[12] <= a7ddrphy_dq_i_data12[3];
a7ddrphy_dfi_p2_rddata[28] <= a7ddrphy_dq_i_data12[2];
a7ddrphy_dfi_p2_rddata[13] <= a7ddrphy_dq_i_data13[3];
a7ddrphy_dfi_p2_rddata[29] <= a7ddrphy_dq_i_data13[2];
a7ddrphy_dfi_p2_rddata[14] <= a7ddrphy_dq_i_data14[3];
a7ddrphy_dfi_p2_rddata[30] <= a7ddrphy_dq_i_data14[2];
a7ddrphy_dfi_p2_rddata[15] <= a7ddrphy_dq_i_data15[3];
a7ddrphy_dfi_p2_rddata[31] <= a7ddrphy_dq_i_data15[2];
// synthesis translate_off
dummy_d_12 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_13;
// synthesis translate_on
always @(*) begin
a7ddrphy_dfi_p3_rddata <= 32'd0;
a7ddrphy_dfi_p3_rddata[0] <= a7ddrphy_dq_i_data0[1];
a7ddrphy_dfi_p3_rddata[16] <= a7ddrphy_dq_i_data0[0];
a7ddrphy_dfi_p3_rddata[1] <= a7ddrphy_dq_i_data1[1];
a7ddrphy_dfi_p3_rddata[17] <= a7ddrphy_dq_i_data1[0];
a7ddrphy_dfi_p3_rddata[2] <= a7ddrphy_dq_i_data2[1];
a7ddrphy_dfi_p3_rddata[18] <= a7ddrphy_dq_i_data2[0];
a7ddrphy_dfi_p3_rddata[3] <= a7ddrphy_dq_i_data3[1];
a7ddrphy_dfi_p3_rddata[19] <= a7ddrphy_dq_i_data3[0];
a7ddrphy_dfi_p3_rddata[4] <= a7ddrphy_dq_i_data4[1];
a7ddrphy_dfi_p3_rddata[20] <= a7ddrphy_dq_i_data4[0];
a7ddrphy_dfi_p3_rddata[5] <= a7ddrphy_dq_i_data5[1];
a7ddrphy_dfi_p3_rddata[21] <= a7ddrphy_dq_i_data5[0];
a7ddrphy_dfi_p3_rddata[6] <= a7ddrphy_dq_i_data6[1];
a7ddrphy_dfi_p3_rddata[22] <= a7ddrphy_dq_i_data6[0];
a7ddrphy_dfi_p3_rddata[7] <= a7ddrphy_dq_i_data7[1];
a7ddrphy_dfi_p3_rddata[23] <= a7ddrphy_dq_i_data7[0];
a7ddrphy_dfi_p3_rddata[8] <= a7ddrphy_dq_i_data8[1];
a7ddrphy_dfi_p3_rddata[24] <= a7ddrphy_dq_i_data8[0];
a7ddrphy_dfi_p3_rddata[9] <= a7ddrphy_dq_i_data9[1];
a7ddrphy_dfi_p3_rddata[25] <= a7ddrphy_dq_i_data9[0];
a7ddrphy_dfi_p3_rddata[10] <= a7ddrphy_dq_i_data10[1];
a7ddrphy_dfi_p3_rddata[26] <= a7ddrphy_dq_i_data10[0];
a7ddrphy_dfi_p3_rddata[11] <= a7ddrphy_dq_i_data11[1];
a7ddrphy_dfi_p3_rddata[27] <= a7ddrphy_dq_i_data11[0];
a7ddrphy_dfi_p3_rddata[12] <= a7ddrphy_dq_i_data12[1];
a7ddrphy_dfi_p3_rddata[28] <= a7ddrphy_dq_i_data12[0];
a7ddrphy_dfi_p3_rddata[13] <= a7ddrphy_dq_i_data13[1];
a7ddrphy_dfi_p3_rddata[29] <= a7ddrphy_dq_i_data13[0];
a7ddrphy_dfi_p3_rddata[14] <= a7ddrphy_dq_i_data14[1];
a7ddrphy_dfi_p3_rddata[30] <= a7ddrphy_dq_i_data14[0];
a7ddrphy_dfi_p3_rddata[15] <= a7ddrphy_dq_i_data15[1];
a7ddrphy_dfi_p3_rddata[31] <= a7ddrphy_dq_i_data15[0];
// synthesis translate_off
dummy_d_13 = dummy_s;
// synthesis translate_on
end
assign a7ddrphy_oe = ((a7ddrphy_last_wrdata_en[1] | a7ddrphy_last_wrdata_en[2]) | a7ddrphy_last_wrdata_en[3]);
assign a7ddrphy_dqs_preamble = (a7ddrphy_last_wrdata_en[1] & (~a7ddrphy_last_wrdata_en[2]));
assign a7ddrphy_dqs_postamble = (a7ddrphy_last_wrdata_en[3] & (~a7ddrphy_last_wrdata_en[2]));
assign a7ddrphy_dfi_p0_address = sdram_master_p0_address;
assign a7ddrphy_dfi_p0_bank = sdram_master_p0_bank;
assign a7ddrphy_dfi_p0_cas_n = sdram_master_p0_cas_n;
assign a7ddrphy_dfi_p0_cs_n = sdram_master_p0_cs_n;
assign a7ddrphy_dfi_p0_ras_n = sdram_master_p0_ras_n;
assign a7ddrphy_dfi_p0_we_n = sdram_master_p0_we_n;
assign a7ddrphy_dfi_p0_cke = sdram_master_p0_cke;
assign a7ddrphy_dfi_p0_odt = sdram_master_p0_odt;
assign a7ddrphy_dfi_p0_reset_n = sdram_master_p0_reset_n;
assign a7ddrphy_dfi_p0_wrdata = sdram_master_p0_wrdata;
assign a7ddrphy_dfi_p0_wrdata_en = sdram_master_p0_wrdata_en;
assign a7ddrphy_dfi_p0_wrdata_mask = sdram_master_p0_wrdata_mask;
assign a7ddrphy_dfi_p0_rddata_en = sdram_master_p0_rddata_en;
assign sdram_master_p0_rddata = a7ddrphy_dfi_p0_rddata;
assign sdram_master_p0_rddata_valid = a7ddrphy_dfi_p0_rddata_valid;
assign a7ddrphy_dfi_p1_address = sdram_master_p1_address;
assign a7ddrphy_dfi_p1_bank = sdram_master_p1_bank;
assign a7ddrphy_dfi_p1_cas_n = sdram_master_p1_cas_n;
assign a7ddrphy_dfi_p1_cs_n = sdram_master_p1_cs_n;
assign a7ddrphy_dfi_p1_ras_n = sdram_master_p1_ras_n;
assign a7ddrphy_dfi_p1_we_n = sdram_master_p1_we_n;
assign a7ddrphy_dfi_p1_cke = sdram_master_p1_cke;
assign a7ddrphy_dfi_p1_odt = sdram_master_p1_odt;
assign a7ddrphy_dfi_p1_reset_n = sdram_master_p1_reset_n;
assign a7ddrphy_dfi_p1_wrdata = sdram_master_p1_wrdata;
assign a7ddrphy_dfi_p1_wrdata_en = sdram_master_p1_wrdata_en;
assign a7ddrphy_dfi_p1_wrdata_mask = sdram_master_p1_wrdata_mask;
assign a7ddrphy_dfi_p1_rddata_en = sdram_master_p1_rddata_en;
assign sdram_master_p1_rddata = a7ddrphy_dfi_p1_rddata;
assign sdram_master_p1_rddata_valid = a7ddrphy_dfi_p1_rddata_valid;
assign a7ddrphy_dfi_p2_address = sdram_master_p2_address;
assign a7ddrphy_dfi_p2_bank = sdram_master_p2_bank;
assign a7ddrphy_dfi_p2_cas_n = sdram_master_p2_cas_n;
assign a7ddrphy_dfi_p2_cs_n = sdram_master_p2_cs_n;
assign a7ddrphy_dfi_p2_ras_n = sdram_master_p2_ras_n;
assign a7ddrphy_dfi_p2_we_n = sdram_master_p2_we_n;
assign a7ddrphy_dfi_p2_cke = sdram_master_p2_cke;
assign a7ddrphy_dfi_p2_odt = sdram_master_p2_odt;
assign a7ddrphy_dfi_p2_reset_n = sdram_master_p2_reset_n;
assign a7ddrphy_dfi_p2_wrdata = sdram_master_p2_wrdata;
assign a7ddrphy_dfi_p2_wrdata_en = sdram_master_p2_wrdata_en;
assign a7ddrphy_dfi_p2_wrdata_mask = sdram_master_p2_wrdata_mask;
assign a7ddrphy_dfi_p2_rddata_en = sdram_master_p2_rddata_en;
assign sdram_master_p2_rddata = a7ddrphy_dfi_p2_rddata;
assign sdram_master_p2_rddata_valid = a7ddrphy_dfi_p2_rddata_valid;
assign a7ddrphy_dfi_p3_address = sdram_master_p3_address;
assign a7ddrphy_dfi_p3_bank = sdram_master_p3_bank;
assign a7ddrphy_dfi_p3_cas_n = sdram_master_p3_cas_n;
assign a7ddrphy_dfi_p3_cs_n = sdram_master_p3_cs_n;
assign a7ddrphy_dfi_p3_ras_n = sdram_master_p3_ras_n;
assign a7ddrphy_dfi_p3_we_n = sdram_master_p3_we_n;
assign a7ddrphy_dfi_p3_cke = sdram_master_p3_cke;
assign a7ddrphy_dfi_p3_odt = sdram_master_p3_odt;
assign a7ddrphy_dfi_p3_reset_n = sdram_master_p3_reset_n;
assign a7ddrphy_dfi_p3_wrdata = sdram_master_p3_wrdata;
assign a7ddrphy_dfi_p3_wrdata_en = sdram_master_p3_wrdata_en;
assign a7ddrphy_dfi_p3_wrdata_mask = sdram_master_p3_wrdata_mask;
assign a7ddrphy_dfi_p3_rddata_en = sdram_master_p3_rddata_en;
assign sdram_master_p3_rddata = a7ddrphy_dfi_p3_rddata;
assign sdram_master_p3_rddata_valid = a7ddrphy_dfi_p3_rddata_valid;
assign sdram_slave_p0_address = sdram_dfi_p0_address;
assign sdram_slave_p0_bank = sdram_dfi_p0_bank;
assign sdram_slave_p0_cas_n = sdram_dfi_p0_cas_n;
assign sdram_slave_p0_cs_n = sdram_dfi_p0_cs_n;
assign sdram_slave_p0_ras_n = sdram_dfi_p0_ras_n;
assign sdram_slave_p0_we_n = sdram_dfi_p0_we_n;
assign sdram_slave_p0_cke = sdram_dfi_p0_cke;
assign sdram_slave_p0_odt = sdram_dfi_p0_odt;
assign sdram_slave_p0_reset_n = sdram_dfi_p0_reset_n;
assign sdram_slave_p0_wrdata = sdram_dfi_p0_wrdata;
assign sdram_slave_p0_wrdata_en = sdram_dfi_p0_wrdata_en;
assign sdram_slave_p0_wrdata_mask = sdram_dfi_p0_wrdata_mask;
assign sdram_slave_p0_rddata_en = sdram_dfi_p0_rddata_en;
assign sdram_dfi_p0_rddata = sdram_slave_p0_rddata;
assign sdram_dfi_p0_rddata_valid = sdram_slave_p0_rddata_valid;
assign sdram_slave_p1_address = sdram_dfi_p1_address;
assign sdram_slave_p1_bank = sdram_dfi_p1_bank;
assign sdram_slave_p1_cas_n = sdram_dfi_p1_cas_n;
assign sdram_slave_p1_cs_n = sdram_dfi_p1_cs_n;
assign sdram_slave_p1_ras_n = sdram_dfi_p1_ras_n;
assign sdram_slave_p1_we_n = sdram_dfi_p1_we_n;
assign sdram_slave_p1_cke = sdram_dfi_p1_cke;
assign sdram_slave_p1_odt = sdram_dfi_p1_odt;
assign sdram_slave_p1_reset_n = sdram_dfi_p1_reset_n;
assign sdram_slave_p1_wrdata = sdram_dfi_p1_wrdata;
assign sdram_slave_p1_wrdata_en = sdram_dfi_p1_wrdata_en;
assign sdram_slave_p1_wrdata_mask = sdram_dfi_p1_wrdata_mask;
assign sdram_slave_p1_rddata_en = sdram_dfi_p1_rddata_en;
assign sdram_dfi_p1_rddata = sdram_slave_p1_rddata;
assign sdram_dfi_p1_rddata_valid = sdram_slave_p1_rddata_valid;
assign sdram_slave_p2_address = sdram_dfi_p2_address;
assign sdram_slave_p2_bank = sdram_dfi_p2_bank;
assign sdram_slave_p2_cas_n = sdram_dfi_p2_cas_n;
assign sdram_slave_p2_cs_n = sdram_dfi_p2_cs_n;
assign sdram_slave_p2_ras_n = sdram_dfi_p2_ras_n;
assign sdram_slave_p2_we_n = sdram_dfi_p2_we_n;
assign sdram_slave_p2_cke = sdram_dfi_p2_cke;
assign sdram_slave_p2_odt = sdram_dfi_p2_odt;
assign sdram_slave_p2_reset_n = sdram_dfi_p2_reset_n;
assign sdram_slave_p2_wrdata = sdram_dfi_p2_wrdata;
assign sdram_slave_p2_wrdata_en = sdram_dfi_p2_wrdata_en;
assign sdram_slave_p2_wrdata_mask = sdram_dfi_p2_wrdata_mask;
assign sdram_slave_p2_rddata_en = sdram_dfi_p2_rddata_en;
assign sdram_dfi_p2_rddata = sdram_slave_p2_rddata;
assign sdram_dfi_p2_rddata_valid = sdram_slave_p2_rddata_valid;
assign sdram_slave_p3_address = sdram_dfi_p3_address;
assign sdram_slave_p3_bank = sdram_dfi_p3_bank;
assign sdram_slave_p3_cas_n = sdram_dfi_p3_cas_n;
assign sdram_slave_p3_cs_n = sdram_dfi_p3_cs_n;
assign sdram_slave_p3_ras_n = sdram_dfi_p3_ras_n;
assign sdram_slave_p3_we_n = sdram_dfi_p3_we_n;
assign sdram_slave_p3_cke = sdram_dfi_p3_cke;
assign sdram_slave_p3_odt = sdram_dfi_p3_odt;
assign sdram_slave_p3_reset_n = sdram_dfi_p3_reset_n;
assign sdram_slave_p3_wrdata = sdram_dfi_p3_wrdata;
assign sdram_slave_p3_wrdata_en = sdram_dfi_p3_wrdata_en;
assign sdram_slave_p3_wrdata_mask = sdram_dfi_p3_wrdata_mask;
assign sdram_slave_p3_rddata_en = sdram_dfi_p3_rddata_en;
assign sdram_dfi_p3_rddata = sdram_slave_p3_rddata;
assign sdram_dfi_p3_rddata_valid = sdram_slave_p3_rddata_valid;
// synthesis translate_off
reg dummy_d_14;
// synthesis translate_on
always @(*) begin
sdram_master_p0_address <= 14'd0;
if (sdram_storage[0]) begin
sdram_master_p0_address <= sdram_slave_p0_address;
end else begin
sdram_master_p0_address <= sdram_inti_p0_address;
end
// synthesis translate_off
dummy_d_14 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_15;
// synthesis translate_on
always @(*) begin
sdram_master_p0_bank <= 3'd0;
if (sdram_storage[0]) begin
sdram_master_p0_bank <= sdram_slave_p0_bank;
end else begin
sdram_master_p0_bank <= sdram_inti_p0_bank;
end
// synthesis translate_off
dummy_d_15 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_16;
// synthesis translate_on
always @(*) begin
sdram_master_p0_cas_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p0_cas_n <= sdram_slave_p0_cas_n;
end else begin
sdram_master_p0_cas_n <= sdram_inti_p0_cas_n;
end
// synthesis translate_off
dummy_d_16 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_17;
// synthesis translate_on
always @(*) begin
sdram_master_p0_cs_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p0_cs_n <= sdram_slave_p0_cs_n;
end else begin
sdram_master_p0_cs_n <= sdram_inti_p0_cs_n;
end
// synthesis translate_off
dummy_d_17 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_18;
// synthesis translate_on
always @(*) begin
sdram_master_p0_ras_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p0_ras_n <= sdram_slave_p0_ras_n;
end else begin
sdram_master_p0_ras_n <= sdram_inti_p0_ras_n;
end
// synthesis translate_off
dummy_d_18 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_19;
// synthesis translate_on
always @(*) begin
sdram_master_p0_we_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p0_we_n <= sdram_slave_p0_we_n;
end else begin
sdram_master_p0_we_n <= sdram_inti_p0_we_n;
end
// synthesis translate_off
dummy_d_19 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_20;
// synthesis translate_on
always @(*) begin
sdram_inti_p0_rddata <= 32'd0;
if (sdram_storage[0]) begin
end else begin
sdram_inti_p0_rddata <= sdram_master_p0_rddata;
end
// synthesis translate_off
dummy_d_20 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_21;
// synthesis translate_on
always @(*) begin
sdram_master_p0_cke <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p0_cke <= sdram_slave_p0_cke;
end else begin
sdram_master_p0_cke <= sdram_inti_p0_cke;
end
// synthesis translate_off
dummy_d_21 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_22;
// synthesis translate_on
always @(*) begin
sdram_inti_p0_rddata_valid <= 1'd0;
if (sdram_storage[0]) begin
end else begin
sdram_inti_p0_rddata_valid <= sdram_master_p0_rddata_valid;
end
// synthesis translate_off
dummy_d_22 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_23;
// synthesis translate_on
always @(*) begin
sdram_master_p0_odt <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p0_odt <= sdram_slave_p0_odt;
end else begin
sdram_master_p0_odt <= sdram_inti_p0_odt;
end
// synthesis translate_off
dummy_d_23 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_24;
// synthesis translate_on
always @(*) begin
sdram_master_p0_reset_n <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p0_reset_n <= sdram_slave_p0_reset_n;
end else begin
sdram_master_p0_reset_n <= sdram_inti_p0_reset_n;
end
// synthesis translate_off
dummy_d_24 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_25;
// synthesis translate_on
always @(*) begin
sdram_master_p0_wrdata <= 32'd0;
if (sdram_storage[0]) begin
sdram_master_p0_wrdata <= sdram_slave_p0_wrdata;
end else begin
sdram_master_p0_wrdata <= sdram_inti_p0_wrdata;
end
// synthesis translate_off
dummy_d_25 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_26;
// synthesis translate_on
always @(*) begin
sdram_slave_p0_rddata <= 32'd0;
if (sdram_storage[0]) begin
sdram_slave_p0_rddata <= sdram_master_p0_rddata;
end else begin
end
// synthesis translate_off
dummy_d_26 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_27;
// synthesis translate_on
always @(*) begin
sdram_slave_p0_rddata_valid <= 1'd0;
if (sdram_storage[0]) begin
sdram_slave_p0_rddata_valid <= sdram_master_p0_rddata_valid;
end else begin
end
// synthesis translate_off
dummy_d_27 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_28;
// synthesis translate_on
always @(*) begin
sdram_master_p0_wrdata_en <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p0_wrdata_en <= sdram_slave_p0_wrdata_en;
end else begin
sdram_master_p0_wrdata_en <= sdram_inti_p0_wrdata_en;
end
// synthesis translate_off
dummy_d_28 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_29;
// synthesis translate_on
always @(*) begin
sdram_master_p0_wrdata_mask <= 4'd0;
if (sdram_storage[0]) begin
sdram_master_p0_wrdata_mask <= sdram_slave_p0_wrdata_mask;
end else begin
sdram_master_p0_wrdata_mask <= sdram_inti_p0_wrdata_mask;
end
// synthesis translate_off
dummy_d_29 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_30;
// synthesis translate_on
always @(*) begin
sdram_master_p0_rddata_en <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p0_rddata_en <= sdram_slave_p0_rddata_en;
end else begin
sdram_master_p0_rddata_en <= sdram_inti_p0_rddata_en;
end
// synthesis translate_off
dummy_d_30 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_31;
// synthesis translate_on
always @(*) begin
sdram_master_p1_address <= 14'd0;
if (sdram_storage[0]) begin
sdram_master_p1_address <= sdram_slave_p1_address;
end else begin
sdram_master_p1_address <= sdram_inti_p1_address;
end
// synthesis translate_off
dummy_d_31 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_32;
// synthesis translate_on
always @(*) begin
sdram_master_p1_bank <= 3'd0;
if (sdram_storage[0]) begin
sdram_master_p1_bank <= sdram_slave_p1_bank;
end else begin
sdram_master_p1_bank <= sdram_inti_p1_bank;
end
// synthesis translate_off
dummy_d_32 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_33;
// synthesis translate_on
always @(*) begin
sdram_master_p1_cas_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p1_cas_n <= sdram_slave_p1_cas_n;
end else begin
sdram_master_p1_cas_n <= sdram_inti_p1_cas_n;
end
// synthesis translate_off
dummy_d_33 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_34;
// synthesis translate_on
always @(*) begin
sdram_master_p1_cs_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p1_cs_n <= sdram_slave_p1_cs_n;
end else begin
sdram_master_p1_cs_n <= sdram_inti_p1_cs_n;
end
// synthesis translate_off
dummy_d_34 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_35;
// synthesis translate_on
always @(*) begin
sdram_master_p1_ras_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p1_ras_n <= sdram_slave_p1_ras_n;
end else begin
sdram_master_p1_ras_n <= sdram_inti_p1_ras_n;
end
// synthesis translate_off
dummy_d_35 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_36;
// synthesis translate_on
always @(*) begin
sdram_master_p1_we_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p1_we_n <= sdram_slave_p1_we_n;
end else begin
sdram_master_p1_we_n <= sdram_inti_p1_we_n;
end
// synthesis translate_off
dummy_d_36 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_37;
// synthesis translate_on
always @(*) begin
sdram_inti_p1_rddata <= 32'd0;
if (sdram_storage[0]) begin
end else begin
sdram_inti_p1_rddata <= sdram_master_p1_rddata;
end
// synthesis translate_off
dummy_d_37 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_38;
// synthesis translate_on
always @(*) begin
sdram_master_p1_cke <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p1_cke <= sdram_slave_p1_cke;
end else begin
sdram_master_p1_cke <= sdram_inti_p1_cke;
end
// synthesis translate_off
dummy_d_38 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_39;
// synthesis translate_on
always @(*) begin
sdram_inti_p1_rddata_valid <= 1'd0;
if (sdram_storage[0]) begin
end else begin
sdram_inti_p1_rddata_valid <= sdram_master_p1_rddata_valid;
end
// synthesis translate_off
dummy_d_39 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_40;
// synthesis translate_on
always @(*) begin
sdram_master_p1_odt <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p1_odt <= sdram_slave_p1_odt;
end else begin
sdram_master_p1_odt <= sdram_inti_p1_odt;
end
// synthesis translate_off
dummy_d_40 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_41;
// synthesis translate_on
always @(*) begin
sdram_master_p1_reset_n <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p1_reset_n <= sdram_slave_p1_reset_n;
end else begin
sdram_master_p1_reset_n <= sdram_inti_p1_reset_n;
end
// synthesis translate_off
dummy_d_41 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_42;
// synthesis translate_on
always @(*) begin
sdram_master_p1_wrdata <= 32'd0;
if (sdram_storage[0]) begin
sdram_master_p1_wrdata <= sdram_slave_p1_wrdata;
end else begin
sdram_master_p1_wrdata <= sdram_inti_p1_wrdata;
end
// synthesis translate_off
dummy_d_42 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_43;
// synthesis translate_on
always @(*) begin
sdram_slave_p1_rddata <= 32'd0;
if (sdram_storage[0]) begin
sdram_slave_p1_rddata <= sdram_master_p1_rddata;
end else begin
end
// synthesis translate_off
dummy_d_43 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_44;
// synthesis translate_on
always @(*) begin
sdram_master_p1_wrdata_en <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p1_wrdata_en <= sdram_slave_p1_wrdata_en;
end else begin
sdram_master_p1_wrdata_en <= sdram_inti_p1_wrdata_en;
end
// synthesis translate_off
dummy_d_44 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_45;
// synthesis translate_on
always @(*) begin
sdram_slave_p1_rddata_valid <= 1'd0;
if (sdram_storage[0]) begin
sdram_slave_p1_rddata_valid <= sdram_master_p1_rddata_valid;
end else begin
end
// synthesis translate_off
dummy_d_45 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_46;
// synthesis translate_on
always @(*) begin
sdram_master_p1_wrdata_mask <= 4'd0;
if (sdram_storage[0]) begin
sdram_master_p1_wrdata_mask <= sdram_slave_p1_wrdata_mask;
end else begin
sdram_master_p1_wrdata_mask <= sdram_inti_p1_wrdata_mask;
end
// synthesis translate_off
dummy_d_46 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_47;
// synthesis translate_on
always @(*) begin
sdram_master_p1_rddata_en <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p1_rddata_en <= sdram_slave_p1_rddata_en;
end else begin
sdram_master_p1_rddata_en <= sdram_inti_p1_rddata_en;
end
// synthesis translate_off
dummy_d_47 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_48;
// synthesis translate_on
always @(*) begin
sdram_master_p2_address <= 14'd0;
if (sdram_storage[0]) begin
sdram_master_p2_address <= sdram_slave_p2_address;
end else begin
sdram_master_p2_address <= sdram_inti_p2_address;
end
// synthesis translate_off
dummy_d_48 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_49;
// synthesis translate_on
always @(*) begin
sdram_master_p2_bank <= 3'd0;
if (sdram_storage[0]) begin
sdram_master_p2_bank <= sdram_slave_p2_bank;
end else begin
sdram_master_p2_bank <= sdram_inti_p2_bank;
end
// synthesis translate_off
dummy_d_49 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_50;
// synthesis translate_on
always @(*) begin
sdram_master_p2_cas_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p2_cas_n <= sdram_slave_p2_cas_n;
end else begin
sdram_master_p2_cas_n <= sdram_inti_p2_cas_n;
end
// synthesis translate_off
dummy_d_50 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_51;
// synthesis translate_on
always @(*) begin
sdram_master_p2_cs_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p2_cs_n <= sdram_slave_p2_cs_n;
end else begin
sdram_master_p2_cs_n <= sdram_inti_p2_cs_n;
end
// synthesis translate_off
dummy_d_51 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_52;
// synthesis translate_on
always @(*) begin
sdram_master_p2_ras_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p2_ras_n <= sdram_slave_p2_ras_n;
end else begin
sdram_master_p2_ras_n <= sdram_inti_p2_ras_n;
end
// synthesis translate_off
dummy_d_52 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_53;
// synthesis translate_on
always @(*) begin
sdram_master_p2_we_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p2_we_n <= sdram_slave_p2_we_n;
end else begin
sdram_master_p2_we_n <= sdram_inti_p2_we_n;
end
// synthesis translate_off
dummy_d_53 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_54;
// synthesis translate_on
always @(*) begin
sdram_inti_p2_rddata <= 32'd0;
if (sdram_storage[0]) begin
end else begin
sdram_inti_p2_rddata <= sdram_master_p2_rddata;
end
// synthesis translate_off
dummy_d_54 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_55;
// synthesis translate_on
always @(*) begin
sdram_master_p2_cke <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p2_cke <= sdram_slave_p2_cke;
end else begin
sdram_master_p2_cke <= sdram_inti_p2_cke;
end
// synthesis translate_off
dummy_d_55 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_56;
// synthesis translate_on
always @(*) begin
sdram_inti_p2_rddata_valid <= 1'd0;
if (sdram_storage[0]) begin
end else begin
sdram_inti_p2_rddata_valid <= sdram_master_p2_rddata_valid;
end
// synthesis translate_off
dummy_d_56 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_57;
// synthesis translate_on
always @(*) begin
sdram_master_p2_odt <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p2_odt <= sdram_slave_p2_odt;
end else begin
sdram_master_p2_odt <= sdram_inti_p2_odt;
end
// synthesis translate_off
dummy_d_57 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_58;
// synthesis translate_on
always @(*) begin
sdram_master_p2_reset_n <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p2_reset_n <= sdram_slave_p2_reset_n;
end else begin
sdram_master_p2_reset_n <= sdram_inti_p2_reset_n;
end
// synthesis translate_off
dummy_d_58 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_59;
// synthesis translate_on
always @(*) begin
sdram_master_p2_wrdata <= 32'd0;
if (sdram_storage[0]) begin
sdram_master_p2_wrdata <= sdram_slave_p2_wrdata;
end else begin
sdram_master_p2_wrdata <= sdram_inti_p2_wrdata;
end
// synthesis translate_off
dummy_d_59 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_60;
// synthesis translate_on
always @(*) begin
sdram_slave_p2_rddata <= 32'd0;
if (sdram_storage[0]) begin
sdram_slave_p2_rddata <= sdram_master_p2_rddata;
end else begin
end
// synthesis translate_off
dummy_d_60 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_61;
// synthesis translate_on
always @(*) begin
sdram_master_p2_wrdata_en <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p2_wrdata_en <= sdram_slave_p2_wrdata_en;
end else begin
sdram_master_p2_wrdata_en <= sdram_inti_p2_wrdata_en;
end
// synthesis translate_off
dummy_d_61 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_62;
// synthesis translate_on
always @(*) begin
sdram_slave_p2_rddata_valid <= 1'd0;
if (sdram_storage[0]) begin
sdram_slave_p2_rddata_valid <= sdram_master_p2_rddata_valid;
end else begin
end
// synthesis translate_off
dummy_d_62 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_63;
// synthesis translate_on
always @(*) begin
sdram_master_p2_wrdata_mask <= 4'd0;
if (sdram_storage[0]) begin
sdram_master_p2_wrdata_mask <= sdram_slave_p2_wrdata_mask;
end else begin
sdram_master_p2_wrdata_mask <= sdram_inti_p2_wrdata_mask;
end
// synthesis translate_off
dummy_d_63 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_64;
// synthesis translate_on
always @(*) begin
sdram_master_p2_rddata_en <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p2_rddata_en <= sdram_slave_p2_rddata_en;
end else begin
sdram_master_p2_rddata_en <= sdram_inti_p2_rddata_en;
end
// synthesis translate_off
dummy_d_64 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_65;
// synthesis translate_on
always @(*) begin
sdram_master_p3_address <= 14'd0;
if (sdram_storage[0]) begin
sdram_master_p3_address <= sdram_slave_p3_address;
end else begin
sdram_master_p3_address <= sdram_inti_p3_address;
end
// synthesis translate_off
dummy_d_65 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_66;
// synthesis translate_on
always @(*) begin
sdram_master_p3_bank <= 3'd0;
if (sdram_storage[0]) begin
sdram_master_p3_bank <= sdram_slave_p3_bank;
end else begin
sdram_master_p3_bank <= sdram_inti_p3_bank;
end
// synthesis translate_off
dummy_d_66 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_67;
// synthesis translate_on
always @(*) begin
sdram_master_p3_cas_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p3_cas_n <= sdram_slave_p3_cas_n;
end else begin
sdram_master_p3_cas_n <= sdram_inti_p3_cas_n;
end
// synthesis translate_off
dummy_d_67 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_68;
// synthesis translate_on
always @(*) begin
sdram_master_p3_cs_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p3_cs_n <= sdram_slave_p3_cs_n;
end else begin
sdram_master_p3_cs_n <= sdram_inti_p3_cs_n;
end
// synthesis translate_off
dummy_d_68 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_69;
// synthesis translate_on
always @(*) begin
sdram_master_p3_ras_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p3_ras_n <= sdram_slave_p3_ras_n;
end else begin
sdram_master_p3_ras_n <= sdram_inti_p3_ras_n;
end
// synthesis translate_off
dummy_d_69 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_70;
// synthesis translate_on
always @(*) begin
sdram_master_p3_we_n <= 1'd1;
if (sdram_storage[0]) begin
sdram_master_p3_we_n <= sdram_slave_p3_we_n;
end else begin
sdram_master_p3_we_n <= sdram_inti_p3_we_n;
end
// synthesis translate_off
dummy_d_70 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_71;
// synthesis translate_on
always @(*) begin
sdram_inti_p3_rddata <= 32'd0;
if (sdram_storage[0]) begin
end else begin
sdram_inti_p3_rddata <= sdram_master_p3_rddata;
end
// synthesis translate_off
dummy_d_71 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_72;
// synthesis translate_on
always @(*) begin
sdram_master_p3_cke <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p3_cke <= sdram_slave_p3_cke;
end else begin
sdram_master_p3_cke <= sdram_inti_p3_cke;
end
// synthesis translate_off
dummy_d_72 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_73;
// synthesis translate_on
always @(*) begin
sdram_inti_p3_rddata_valid <= 1'd0;
if (sdram_storage[0]) begin
end else begin
sdram_inti_p3_rddata_valid <= sdram_master_p3_rddata_valid;
end
// synthesis translate_off
dummy_d_73 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_74;
// synthesis translate_on
always @(*) begin
sdram_master_p3_odt <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p3_odt <= sdram_slave_p3_odt;
end else begin
sdram_master_p3_odt <= sdram_inti_p3_odt;
end
// synthesis translate_off
dummy_d_74 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_75;
// synthesis translate_on
always @(*) begin
sdram_master_p3_reset_n <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p3_reset_n <= sdram_slave_p3_reset_n;
end else begin
sdram_master_p3_reset_n <= sdram_inti_p3_reset_n;
end
// synthesis translate_off
dummy_d_75 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_76;
// synthesis translate_on
always @(*) begin
sdram_master_p3_wrdata <= 32'd0;
if (sdram_storage[0]) begin
sdram_master_p3_wrdata <= sdram_slave_p3_wrdata;
end else begin
sdram_master_p3_wrdata <= sdram_inti_p3_wrdata;
end
// synthesis translate_off
dummy_d_76 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_77;
// synthesis translate_on
always @(*) begin
sdram_slave_p3_rddata <= 32'd0;
if (sdram_storage[0]) begin
sdram_slave_p3_rddata <= sdram_master_p3_rddata;
end else begin
end
// synthesis translate_off
dummy_d_77 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_78;
// synthesis translate_on
always @(*) begin
sdram_master_p3_wrdata_en <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p3_wrdata_en <= sdram_slave_p3_wrdata_en;
end else begin
sdram_master_p3_wrdata_en <= sdram_inti_p3_wrdata_en;
end
// synthesis translate_off
dummy_d_78 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_79;
// synthesis translate_on
always @(*) begin
sdram_slave_p3_rddata_valid <= 1'd0;
if (sdram_storage[0]) begin
sdram_slave_p3_rddata_valid <= sdram_master_p3_rddata_valid;
end else begin
end
// synthesis translate_off
dummy_d_79 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_80;
// synthesis translate_on
always @(*) begin
sdram_master_p3_wrdata_mask <= 4'd0;
if (sdram_storage[0]) begin
sdram_master_p3_wrdata_mask <= sdram_slave_p3_wrdata_mask;
end else begin
sdram_master_p3_wrdata_mask <= sdram_inti_p3_wrdata_mask;
end
// synthesis translate_off
dummy_d_80 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_81;
// synthesis translate_on
always @(*) begin
sdram_master_p3_rddata_en <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p3_rddata_en <= sdram_slave_p3_rddata_en;
end else begin
sdram_master_p3_rddata_en <= sdram_inti_p3_rddata_en;
end
// synthesis translate_off
dummy_d_81 = dummy_s;
// synthesis translate_on
end
assign sdram_inti_p0_cke = sdram_storage[1];
assign sdram_inti_p1_cke = sdram_storage[1];
assign sdram_inti_p2_cke = sdram_storage[1];
assign sdram_inti_p3_cke = sdram_storage[1];
assign sdram_inti_p0_odt = sdram_storage[2];
assign sdram_inti_p1_odt = sdram_storage[2];
assign sdram_inti_p2_odt = sdram_storage[2];
assign sdram_inti_p3_odt = sdram_storage[2];
assign sdram_inti_p0_reset_n = sdram_storage[3];
assign sdram_inti_p1_reset_n = sdram_storage[3];
assign sdram_inti_p2_reset_n = sdram_storage[3];
assign sdram_inti_p3_reset_n = sdram_storage[3];
// synthesis translate_off
reg dummy_d_82;
// synthesis translate_on
always @(*) begin
sdram_inti_p0_cas_n <= 1'd1;
if (sdram_phaseinjector0_command_issue_re) begin
sdram_inti_p0_cas_n <= (~sdram_phaseinjector0_command_storage[2]);
end else begin
sdram_inti_p0_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_82 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_83;
// synthesis translate_on
always @(*) begin
sdram_inti_p0_cs_n <= 1'd1;
if (sdram_phaseinjector0_command_issue_re) begin
sdram_inti_p0_cs_n <= {1{(~sdram_phaseinjector0_command_storage[0])}};
end else begin
sdram_inti_p0_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_83 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_84;
// synthesis translate_on
always @(*) begin
sdram_inti_p0_ras_n <= 1'd1;
if (sdram_phaseinjector0_command_issue_re) begin
sdram_inti_p0_ras_n <= (~sdram_phaseinjector0_command_storage[3]);
end else begin
sdram_inti_p0_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_84 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_85;
// synthesis translate_on
always @(*) begin
sdram_inti_p0_we_n <= 1'd1;
if (sdram_phaseinjector0_command_issue_re) begin
sdram_inti_p0_we_n <= (~sdram_phaseinjector0_command_storage[1]);
end else begin
sdram_inti_p0_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_85 = dummy_s;
// synthesis translate_on
end
assign sdram_inti_p0_address = sdram_phaseinjector0_address_storage;
assign sdram_inti_p0_bank = sdram_phaseinjector0_baddress_storage;
assign sdram_inti_p0_wrdata_en = (sdram_phaseinjector0_command_issue_re & sdram_phaseinjector0_command_storage[4]);
assign sdram_inti_p0_rddata_en = (sdram_phaseinjector0_command_issue_re & sdram_phaseinjector0_command_storage[5]);
assign sdram_inti_p0_wrdata = sdram_phaseinjector0_wrdata_storage;
assign sdram_inti_p0_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_86;
// synthesis translate_on
always @(*) begin
sdram_inti_p1_cs_n <= 1'd1;
if (sdram_phaseinjector1_command_issue_re) begin
sdram_inti_p1_cs_n <= {1{(~sdram_phaseinjector1_command_storage[0])}};
end else begin
sdram_inti_p1_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_86 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_87;
// synthesis translate_on
always @(*) begin
sdram_inti_p1_ras_n <= 1'd1;
if (sdram_phaseinjector1_command_issue_re) begin
sdram_inti_p1_ras_n <= (~sdram_phaseinjector1_command_storage[3]);
end else begin
sdram_inti_p1_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_87 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_88;
// synthesis translate_on
always @(*) begin
sdram_inti_p1_we_n <= 1'd1;
if (sdram_phaseinjector1_command_issue_re) begin
sdram_inti_p1_we_n <= (~sdram_phaseinjector1_command_storage[1]);
end else begin
sdram_inti_p1_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_88 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_89;
// synthesis translate_on
always @(*) begin
sdram_inti_p1_cas_n <= 1'd1;
if (sdram_phaseinjector1_command_issue_re) begin
sdram_inti_p1_cas_n <= (~sdram_phaseinjector1_command_storage[2]);
end else begin
sdram_inti_p1_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_89 = dummy_s;
// synthesis translate_on
end
assign sdram_inti_p1_address = sdram_phaseinjector1_address_storage;
assign sdram_inti_p1_bank = sdram_phaseinjector1_baddress_storage;
assign sdram_inti_p1_wrdata_en = (sdram_phaseinjector1_command_issue_re & sdram_phaseinjector1_command_storage[4]);
assign sdram_inti_p1_rddata_en = (sdram_phaseinjector1_command_issue_re & sdram_phaseinjector1_command_storage[5]);
assign sdram_inti_p1_wrdata = sdram_phaseinjector1_wrdata_storage;
assign sdram_inti_p1_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_90;
// synthesis translate_on
always @(*) begin
sdram_inti_p2_ras_n <= 1'd1;
if (sdram_phaseinjector2_command_issue_re) begin
sdram_inti_p2_ras_n <= (~sdram_phaseinjector2_command_storage[3]);
end else begin
sdram_inti_p2_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_90 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_91;
// synthesis translate_on
always @(*) begin
sdram_inti_p2_we_n <= 1'd1;
if (sdram_phaseinjector2_command_issue_re) begin
sdram_inti_p2_we_n <= (~sdram_phaseinjector2_command_storage[1]);
end else begin
sdram_inti_p2_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_91 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_92;
// synthesis translate_on
always @(*) begin
sdram_inti_p2_cas_n <= 1'd1;
if (sdram_phaseinjector2_command_issue_re) begin
sdram_inti_p2_cas_n <= (~sdram_phaseinjector2_command_storage[2]);
end else begin
sdram_inti_p2_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_92 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_93;
// synthesis translate_on
always @(*) begin
sdram_inti_p2_cs_n <= 1'd1;
if (sdram_phaseinjector2_command_issue_re) begin
sdram_inti_p2_cs_n <= {1{(~sdram_phaseinjector2_command_storage[0])}};
end else begin
sdram_inti_p2_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_93 = dummy_s;
// synthesis translate_on
end
assign sdram_inti_p2_address = sdram_phaseinjector2_address_storage;
assign sdram_inti_p2_bank = sdram_phaseinjector2_baddress_storage;
assign sdram_inti_p2_wrdata_en = (sdram_phaseinjector2_command_issue_re & sdram_phaseinjector2_command_storage[4]);
assign sdram_inti_p2_rddata_en = (sdram_phaseinjector2_command_issue_re & sdram_phaseinjector2_command_storage[5]);
assign sdram_inti_p2_wrdata = sdram_phaseinjector2_wrdata_storage;
assign sdram_inti_p2_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_94;
// synthesis translate_on
always @(*) begin
sdram_inti_p3_we_n <= 1'd1;
if (sdram_phaseinjector3_command_issue_re) begin
sdram_inti_p3_we_n <= (~sdram_phaseinjector3_command_storage[1]);
end else begin
sdram_inti_p3_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_94 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_95;
// synthesis translate_on
always @(*) begin
sdram_inti_p3_cas_n <= 1'd1;
if (sdram_phaseinjector3_command_issue_re) begin
sdram_inti_p3_cas_n <= (~sdram_phaseinjector3_command_storage[2]);
end else begin
sdram_inti_p3_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_95 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_96;
// synthesis translate_on
always @(*) begin
sdram_inti_p3_cs_n <= 1'd1;
if (sdram_phaseinjector3_command_issue_re) begin
sdram_inti_p3_cs_n <= {1{(~sdram_phaseinjector3_command_storage[0])}};
end else begin
sdram_inti_p3_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_96 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_97;
// synthesis translate_on
always @(*) begin
sdram_inti_p3_ras_n <= 1'd1;
if (sdram_phaseinjector3_command_issue_re) begin
sdram_inti_p3_ras_n <= (~sdram_phaseinjector3_command_storage[3]);
end else begin
sdram_inti_p3_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_97 = dummy_s;
// synthesis translate_on
end
assign sdram_inti_p3_address = sdram_phaseinjector3_address_storage;
assign sdram_inti_p3_bank = sdram_phaseinjector3_baddress_storage;
assign sdram_inti_p3_wrdata_en = (sdram_phaseinjector3_command_issue_re & sdram_phaseinjector3_command_storage[4]);
assign sdram_inti_p3_rddata_en = (sdram_phaseinjector3_command_issue_re & sdram_phaseinjector3_command_storage[5]);
assign sdram_inti_p3_wrdata = sdram_phaseinjector3_wrdata_storage;
assign sdram_inti_p3_wrdata_mask = 1'd0;
assign sdram_bankmachine0_req_valid = sdram_interface_bank0_valid;
assign sdram_interface_bank0_ready = sdram_bankmachine0_req_ready;
assign sdram_bankmachine0_req_we = sdram_interface_bank0_we;
assign sdram_bankmachine0_req_addr = sdram_interface_bank0_addr;
assign sdram_interface_bank0_lock = sdram_bankmachine0_req_lock;
assign sdram_interface_bank0_wdata_ready = sdram_bankmachine0_req_wdata_ready;
assign sdram_interface_bank0_rdata_valid = sdram_bankmachine0_req_rdata_valid;
assign sdram_bankmachine1_req_valid = sdram_interface_bank1_valid;
assign sdram_interface_bank1_ready = sdram_bankmachine1_req_ready;
assign sdram_bankmachine1_req_we = sdram_interface_bank1_we;
assign sdram_bankmachine1_req_addr = sdram_interface_bank1_addr;
assign sdram_interface_bank1_lock = sdram_bankmachine1_req_lock;
assign sdram_interface_bank1_wdata_ready = sdram_bankmachine1_req_wdata_ready;
assign sdram_interface_bank1_rdata_valid = sdram_bankmachine1_req_rdata_valid;
assign sdram_bankmachine2_req_valid = sdram_interface_bank2_valid;
assign sdram_interface_bank2_ready = sdram_bankmachine2_req_ready;
assign sdram_bankmachine2_req_we = sdram_interface_bank2_we;
assign sdram_bankmachine2_req_addr = sdram_interface_bank2_addr;
assign sdram_interface_bank2_lock = sdram_bankmachine2_req_lock;
assign sdram_interface_bank2_wdata_ready = sdram_bankmachine2_req_wdata_ready;
assign sdram_interface_bank2_rdata_valid = sdram_bankmachine2_req_rdata_valid;
assign sdram_bankmachine3_req_valid = sdram_interface_bank3_valid;
assign sdram_interface_bank3_ready = sdram_bankmachine3_req_ready;
assign sdram_bankmachine3_req_we = sdram_interface_bank3_we;
assign sdram_bankmachine3_req_addr = sdram_interface_bank3_addr;
assign sdram_interface_bank3_lock = sdram_bankmachine3_req_lock;
assign sdram_interface_bank3_wdata_ready = sdram_bankmachine3_req_wdata_ready;
assign sdram_interface_bank3_rdata_valid = sdram_bankmachine3_req_rdata_valid;
assign sdram_bankmachine4_req_valid = sdram_interface_bank4_valid;
assign sdram_interface_bank4_ready = sdram_bankmachine4_req_ready;
assign sdram_bankmachine4_req_we = sdram_interface_bank4_we;
assign sdram_bankmachine4_req_addr = sdram_interface_bank4_addr;
assign sdram_interface_bank4_lock = sdram_bankmachine4_req_lock;
assign sdram_interface_bank4_wdata_ready = sdram_bankmachine4_req_wdata_ready;
assign sdram_interface_bank4_rdata_valid = sdram_bankmachine4_req_rdata_valid;
assign sdram_bankmachine5_req_valid = sdram_interface_bank5_valid;
assign sdram_interface_bank5_ready = sdram_bankmachine5_req_ready;
assign sdram_bankmachine5_req_we = sdram_interface_bank5_we;
assign sdram_bankmachine5_req_addr = sdram_interface_bank5_addr;
assign sdram_interface_bank5_lock = sdram_bankmachine5_req_lock;
assign sdram_interface_bank5_wdata_ready = sdram_bankmachine5_req_wdata_ready;
assign sdram_interface_bank5_rdata_valid = sdram_bankmachine5_req_rdata_valid;
assign sdram_bankmachine6_req_valid = sdram_interface_bank6_valid;
assign sdram_interface_bank6_ready = sdram_bankmachine6_req_ready;
assign sdram_bankmachine6_req_we = sdram_interface_bank6_we;
assign sdram_bankmachine6_req_addr = sdram_interface_bank6_addr;
assign sdram_interface_bank6_lock = sdram_bankmachine6_req_lock;
assign sdram_interface_bank6_wdata_ready = sdram_bankmachine6_req_wdata_ready;
assign sdram_interface_bank6_rdata_valid = sdram_bankmachine6_req_rdata_valid;
assign sdram_bankmachine7_req_valid = sdram_interface_bank7_valid;
assign sdram_interface_bank7_ready = sdram_bankmachine7_req_ready;
assign sdram_bankmachine7_req_we = sdram_interface_bank7_we;
assign sdram_bankmachine7_req_addr = sdram_interface_bank7_addr;
assign sdram_interface_bank7_lock = sdram_bankmachine7_req_lock;
assign sdram_interface_bank7_wdata_ready = sdram_bankmachine7_req_wdata_ready;
assign sdram_interface_bank7_rdata_valid = sdram_bankmachine7_req_rdata_valid;
assign sdram_wait = (1'd1 & (~sdram_done));
assign sdram_done = (sdram_count == 1'd0);
// synthesis translate_off
reg dummy_d_98;
// synthesis translate_on
always @(*) begin
refresher_next_state <= 2'd0;
refresher_next_state <= refresher_state;
case (refresher_state)
1'd1: begin
if (sdram_cmd_ready) begin
refresher_next_state <= 2'd2;
end
end
2'd2: begin
if (sdram_seq_done) begin
refresher_next_state <= 1'd0;
end else begin
end
end
default: begin
if (sdram_done) begin
refresher_next_state <= 1'd1;
end
end
endcase
// synthesis translate_off
dummy_d_98 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_99;
// synthesis translate_on
always @(*) begin
sdram_seq_start <= 1'd0;
case (refresher_state)
1'd1: begin
if (sdram_cmd_ready) begin
sdram_seq_start <= 1'd1;
end
end
2'd2: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_99 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_100;
// synthesis translate_on
always @(*) begin
sdram_cmd_last <= 1'd0;
case (refresher_state)
1'd1: begin
end
2'd2: begin
if (sdram_seq_done) begin
sdram_cmd_last <= 1'd1;
end else begin
end
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_100 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_101;
// synthesis translate_on
always @(*) begin
sdram_cmd_valid <= 1'd0;
case (refresher_state)
1'd1: begin
sdram_cmd_valid <= 1'd1;
end
2'd2: begin
if (sdram_seq_done) begin
end else begin
sdram_cmd_valid <= 1'd1;
end
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_101 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine0_cmd_buffer_lookahead_sink_valid = sdram_bankmachine0_req_valid;
assign sdram_bankmachine0_req_ready = sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine0_req_we;
assign sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine0_req_addr;
assign sdram_bankmachine0_cmd_buffer_sink_valid = sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_ready = sdram_bankmachine0_cmd_buffer_sink_ready;
assign sdram_bankmachine0_cmd_buffer_sink_first = sdram_bankmachine0_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine0_cmd_buffer_sink_last = sdram_bankmachine0_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine0_cmd_buffer_sink_payload_we = sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine0_cmd_buffer_sink_payload_addr = sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine0_cmd_buffer_source_ready = (sdram_bankmachine0_req_wdata_ready | sdram_bankmachine0_req_rdata_valid);
assign sdram_bankmachine0_req_lock = (sdram_bankmachine0_cmd_buffer_lookahead_source_valid | sdram_bankmachine0_cmd_buffer_source_valid);
assign sdram_bankmachine0_hit = (sdram_bankmachine0_openrow == sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine0_cmd_payload_ba = 1'd0;
// synthesis translate_off
reg dummy_d_102;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_payload_a <= 14'd0;
if (sdram_bankmachine0_sel_row_addr) begin
sdram_bankmachine0_cmd_payload_a <= sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine0_cmd_payload_a <= ((sdram_bankmachine0_auto_precharge <<< 4'd10) | {sdram_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_102 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine0_wait = (~((sdram_bankmachine0_cmd_valid & sdram_bankmachine0_cmd_ready) & sdram_bankmachine0_cmd_payload_is_write));
// synthesis translate_off
reg dummy_d_103;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_auto_precharge <= 1'd0;
if ((sdram_bankmachine0_cmd_buffer_lookahead_source_valid & sdram_bankmachine0_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine0_auto_precharge <= (sdram_bankmachine0_track_close == 1'd0);
end
end
// synthesis translate_off
dummy_d_103 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
assign {sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
assign {sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
assign {sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
assign sdram_bankmachine0_cmd_buffer_lookahead_sink_ready = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine0_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine0_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_valid = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_first = sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_last = sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_104;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine0_cmd_buffer_lookahead_replace) begin
sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine0_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine0_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_104 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
assign sdram_bankmachine0_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | sdram_bankmachine0_cmd_buffer_lookahead_replace));
assign sdram_bankmachine0_cmd_buffer_lookahead_do_read = (sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re);
assign sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine0_cmd_buffer_lookahead_consume;
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (sdram_bankmachine0_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (sdram_bankmachine0_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine0_cmd_buffer_pipe_ce = (sdram_bankmachine0_cmd_buffer_source_ready | (~sdram_bankmachine0_cmd_buffer_valid_n));
assign sdram_bankmachine0_cmd_buffer_sink_ready = sdram_bankmachine0_cmd_buffer_pipe_ce;
assign sdram_bankmachine0_cmd_buffer_source_valid = sdram_bankmachine0_cmd_buffer_valid_n;
assign sdram_bankmachine0_cmd_buffer_busy = (1'd0 | sdram_bankmachine0_cmd_buffer_valid_n);
assign sdram_bankmachine0_cmd_buffer_source_first = sdram_bankmachine0_cmd_buffer_first_n;
assign sdram_bankmachine0_cmd_buffer_source_last = sdram_bankmachine0_cmd_buffer_last_n;
assign sdram_bankmachine0_done = (sdram_bankmachine0_count == 1'd0);
// synthesis translate_off
reg dummy_d_105;
// synthesis translate_on
always @(*) begin
bankmachine0_next_state <= 4'd0;
bankmachine0_next_state <= bankmachine0_state;
case (bankmachine0_state)
1'd1: begin
if (sdram_bankmachine0_done) begin
if (sdram_bankmachine0_cmd_ready) begin
bankmachine0_next_state <= 3'd5;
end
end
end
2'd2: begin
if (sdram_bankmachine0_done) begin
bankmachine0_next_state <= 3'd5;
end
end
2'd3: begin
if ((sdram_bankmachine0_cmd_ready & sdram_bankmachine0_ras_allowed)) begin
bankmachine0_next_state <= 3'd7;
end
end
3'd4: begin
if ((~sdram_bankmachine0_refresh_req)) begin
bankmachine0_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine0_next_state <= 3'd6;
end
3'd6: begin
bankmachine0_next_state <= 2'd3;
end
3'd7: begin
bankmachine0_next_state <= 4'd8;
end
4'd8: begin
bankmachine0_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine0_refresh_req) begin
bankmachine0_next_state <= 3'd4;
end else begin
if (sdram_bankmachine0_cmd_buffer_source_valid) begin
if (sdram_bankmachine0_has_openrow) begin
if (sdram_bankmachine0_hit) begin
if (sdram_bankmachine0_cas_allowed) begin
if ((sdram_bankmachine0_cmd_ready & sdram_bankmachine0_auto_precharge)) begin
bankmachine0_next_state <= 2'd2;
end
end
end else begin
bankmachine0_next_state <= 1'd1;
end
end else begin
bankmachine0_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
dummy_d_105 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_106;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_payload_cas <= 1'd0;
case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine0_refresh_req) begin
end else begin
if (sdram_bankmachine0_cmd_buffer_source_valid) begin
if (sdram_bankmachine0_has_openrow) begin
if (sdram_bankmachine0_hit) begin
if (sdram_bankmachine0_cas_allowed) begin
sdram_bankmachine0_cmd_payload_cas <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_106 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_107;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_payload_ras <= 1'd0;
case (bankmachine0_state)
1'd1: begin
if (sdram_bankmachine0_done) begin
sdram_bankmachine0_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine0_cmd_payload_ras <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_107 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_108;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_payload_we <= 1'd0;
case (bankmachine0_state)
1'd1: begin
if (sdram_bankmachine0_done) begin
sdram_bankmachine0_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine0_refresh_req) begin
end else begin
if (sdram_bankmachine0_cmd_buffer_source_valid) begin
if (sdram_bankmachine0_has_openrow) begin
if (sdram_bankmachine0_hit) begin
if (sdram_bankmachine0_cas_allowed) begin
if (sdram_bankmachine0_cmd_buffer_source_payload_we) begin
sdram_bankmachine0_cmd_payload_we <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_108 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_109;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_payload_is_cmd <= 1'd0;
case (bankmachine0_state)
1'd1: begin
if (sdram_bankmachine0_done) begin
sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
end
3'd4: begin
sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_109 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_110;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_req_wdata_ready <= 1'd0;
case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine0_refresh_req) begin
end else begin
if (sdram_bankmachine0_cmd_buffer_source_valid) begin
if (sdram_bankmachine0_has_openrow) begin
if (sdram_bankmachine0_hit) begin
if (sdram_bankmachine0_cas_allowed) begin
if (sdram_bankmachine0_cmd_buffer_source_payload_we) begin
sdram_bankmachine0_req_wdata_ready <= sdram_bankmachine0_cmd_ready;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_110 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_111;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_track_open <= 1'd0;
case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine0_track_open <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_111 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_112;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_payload_is_write <= 1'd0;
case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine0_refresh_req) begin
end else begin
if (sdram_bankmachine0_cmd_buffer_source_valid) begin
if (sdram_bankmachine0_has_openrow) begin
if (sdram_bankmachine0_hit) begin
if (sdram_bankmachine0_cas_allowed) begin
if (sdram_bankmachine0_cmd_buffer_source_payload_we) begin
sdram_bankmachine0_cmd_payload_is_write <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_112 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_113;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_track_close <= 1'd0;
case (bankmachine0_state)
1'd1: begin
sdram_bankmachine0_track_close <= 1'd1;
end
2'd2: begin
sdram_bankmachine0_track_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
sdram_bankmachine0_track_close <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_113 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_114;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_req_rdata_valid <= 1'd0;
case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine0_refresh_req) begin
end else begin
if (sdram_bankmachine0_cmd_buffer_source_valid) begin
if (sdram_bankmachine0_has_openrow) begin
if (sdram_bankmachine0_hit) begin
if (sdram_bankmachine0_cas_allowed) begin
if (sdram_bankmachine0_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine0_req_rdata_valid <= sdram_bankmachine0_cmd_ready;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_114 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_115;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_payload_is_read <= 1'd0;
case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine0_refresh_req) begin
end else begin
if (sdram_bankmachine0_cmd_buffer_source_valid) begin
if (sdram_bankmachine0_has_openrow) begin
if (sdram_bankmachine0_hit) begin
if (sdram_bankmachine0_cas_allowed) begin
if (sdram_bankmachine0_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine0_cmd_payload_is_read <= 1'd1;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_115 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_116;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_refresh_gnt <= 1'd0;
case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
if (sdram_bankmachine0_done) begin
sdram_bankmachine0_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_116 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_117;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_sel_row_addr <= 1'd0;
case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine0_sel_row_addr <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_117 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_118;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_valid <= 1'd0;
case (bankmachine0_state)
1'd1: begin
if (sdram_bankmachine0_done) begin
sdram_bankmachine0_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine0_cmd_valid <= sdram_bankmachine0_ras_allowed;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine0_refresh_req) begin
end else begin
if (sdram_bankmachine0_cmd_buffer_source_valid) begin
if (sdram_bankmachine0_has_openrow) begin
if (sdram_bankmachine0_hit) begin
if (sdram_bankmachine0_cas_allowed) begin
sdram_bankmachine0_cmd_valid <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_118 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine1_cmd_buffer_lookahead_sink_valid = sdram_bankmachine1_req_valid;
assign sdram_bankmachine1_req_ready = sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine1_req_we;
assign sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine1_req_addr;
assign sdram_bankmachine1_cmd_buffer_sink_valid = sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_ready = sdram_bankmachine1_cmd_buffer_sink_ready;
assign sdram_bankmachine1_cmd_buffer_sink_first = sdram_bankmachine1_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine1_cmd_buffer_sink_last = sdram_bankmachine1_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine1_cmd_buffer_sink_payload_we = sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine1_cmd_buffer_sink_payload_addr = sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine1_cmd_buffer_source_ready = (sdram_bankmachine1_req_wdata_ready | sdram_bankmachine1_req_rdata_valid);
assign sdram_bankmachine1_req_lock = (sdram_bankmachine1_cmd_buffer_lookahead_source_valid | sdram_bankmachine1_cmd_buffer_source_valid);
assign sdram_bankmachine1_hit = (sdram_bankmachine1_openrow == sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine1_cmd_payload_ba = 1'd1;
// synthesis translate_off
reg dummy_d_119;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_payload_a <= 14'd0;
if (sdram_bankmachine1_sel_row_addr) begin
sdram_bankmachine1_cmd_payload_a <= sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine1_cmd_payload_a <= ((sdram_bankmachine1_auto_precharge <<< 4'd10) | {sdram_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_119 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine1_wait = (~((sdram_bankmachine1_cmd_valid & sdram_bankmachine1_cmd_ready) & sdram_bankmachine1_cmd_payload_is_write));
// synthesis translate_off
reg dummy_d_120;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_auto_precharge <= 1'd0;
if ((sdram_bankmachine1_cmd_buffer_lookahead_source_valid & sdram_bankmachine1_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine1_auto_precharge <= (sdram_bankmachine1_track_close == 1'd0);
end
end
// synthesis translate_off
dummy_d_120 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
assign {sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
assign {sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
assign {sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
assign sdram_bankmachine1_cmd_buffer_lookahead_sink_ready = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine1_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine1_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_valid = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_first = sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_last = sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_121;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine1_cmd_buffer_lookahead_replace) begin
sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine1_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine1_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_121 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
assign sdram_bankmachine1_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | sdram_bankmachine1_cmd_buffer_lookahead_replace));
assign sdram_bankmachine1_cmd_buffer_lookahead_do_read = (sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re);
assign sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine1_cmd_buffer_lookahead_consume;
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (sdram_bankmachine1_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (sdram_bankmachine1_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine1_cmd_buffer_pipe_ce = (sdram_bankmachine1_cmd_buffer_source_ready | (~sdram_bankmachine1_cmd_buffer_valid_n));
assign sdram_bankmachine1_cmd_buffer_sink_ready = sdram_bankmachine1_cmd_buffer_pipe_ce;
assign sdram_bankmachine1_cmd_buffer_source_valid = sdram_bankmachine1_cmd_buffer_valid_n;
assign sdram_bankmachine1_cmd_buffer_busy = (1'd0 | sdram_bankmachine1_cmd_buffer_valid_n);
assign sdram_bankmachine1_cmd_buffer_source_first = sdram_bankmachine1_cmd_buffer_first_n;
assign sdram_bankmachine1_cmd_buffer_source_last = sdram_bankmachine1_cmd_buffer_last_n;
assign sdram_bankmachine1_done = (sdram_bankmachine1_count == 1'd0);
// synthesis translate_off
reg dummy_d_122;
// synthesis translate_on
always @(*) begin
bankmachine1_next_state <= 4'd0;
bankmachine1_next_state <= bankmachine1_state;
case (bankmachine1_state)
1'd1: begin
if (sdram_bankmachine1_done) begin
if (sdram_bankmachine1_cmd_ready) begin
bankmachine1_next_state <= 3'd5;
end
end
end
2'd2: begin
if (sdram_bankmachine1_done) begin
bankmachine1_next_state <= 3'd5;
end
end
2'd3: begin
if ((sdram_bankmachine1_cmd_ready & sdram_bankmachine1_ras_allowed)) begin
bankmachine1_next_state <= 3'd7;
end
end
3'd4: begin
if ((~sdram_bankmachine1_refresh_req)) begin
bankmachine1_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine1_next_state <= 3'd6;
end
3'd6: begin
bankmachine1_next_state <= 2'd3;
end
3'd7: begin
bankmachine1_next_state <= 4'd8;
end
4'd8: begin
bankmachine1_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine1_refresh_req) begin
bankmachine1_next_state <= 3'd4;
end else begin
if (sdram_bankmachine1_cmd_buffer_source_valid) begin
if (sdram_bankmachine1_has_openrow) begin
if (sdram_bankmachine1_hit) begin
if (sdram_bankmachine1_cas_allowed) begin
if ((sdram_bankmachine1_cmd_ready & sdram_bankmachine1_auto_precharge)) begin
bankmachine1_next_state <= 2'd2;
end
end
end else begin
bankmachine1_next_state <= 1'd1;
end
end else begin
bankmachine1_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
dummy_d_122 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_123;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_sel_row_addr <= 1'd0;
case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine1_sel_row_addr <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_123 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_124;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_req_rdata_valid <= 1'd0;
case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine1_refresh_req) begin
end else begin
if (sdram_bankmachine1_cmd_buffer_source_valid) begin
if (sdram_bankmachine1_has_openrow) begin
if (sdram_bankmachine1_hit) begin
if (sdram_bankmachine1_cas_allowed) begin
if (sdram_bankmachine1_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine1_req_rdata_valid <= sdram_bankmachine1_cmd_ready;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_124 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_125;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_payload_is_write <= 1'd0;
case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine1_refresh_req) begin
end else begin
if (sdram_bankmachine1_cmd_buffer_source_valid) begin
if (sdram_bankmachine1_has_openrow) begin
if (sdram_bankmachine1_hit) begin
if (sdram_bankmachine1_cas_allowed) begin
if (sdram_bankmachine1_cmd_buffer_source_payload_we) begin
sdram_bankmachine1_cmd_payload_is_write <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_125 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_126;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_valid <= 1'd0;
case (bankmachine1_state)
1'd1: begin
if (sdram_bankmachine1_done) begin
sdram_bankmachine1_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine1_cmd_valid <= sdram_bankmachine1_ras_allowed;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine1_refresh_req) begin
end else begin
if (sdram_bankmachine1_cmd_buffer_source_valid) begin
if (sdram_bankmachine1_has_openrow) begin
if (sdram_bankmachine1_hit) begin
if (sdram_bankmachine1_cas_allowed) begin
sdram_bankmachine1_cmd_valid <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_126 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_127;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_payload_is_read <= 1'd0;
case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine1_refresh_req) begin
end else begin
if (sdram_bankmachine1_cmd_buffer_source_valid) begin
if (sdram_bankmachine1_has_openrow) begin
if (sdram_bankmachine1_hit) begin
if (sdram_bankmachine1_cas_allowed) begin
if (sdram_bankmachine1_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine1_cmd_payload_is_read <= 1'd1;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_127 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_128;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_payload_cas <= 1'd0;
case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine1_refresh_req) begin
end else begin
if (sdram_bankmachine1_cmd_buffer_source_valid) begin
if (sdram_bankmachine1_has_openrow) begin
if (sdram_bankmachine1_hit) begin
if (sdram_bankmachine1_cas_allowed) begin
sdram_bankmachine1_cmd_payload_cas <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_128 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_129;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_payload_ras <= 1'd0;
case (bankmachine1_state)
1'd1: begin
if (sdram_bankmachine1_done) begin
sdram_bankmachine1_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine1_cmd_payload_ras <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_129 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_130;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_payload_we <= 1'd0;
case (bankmachine1_state)
1'd1: begin
if (sdram_bankmachine1_done) begin
sdram_bankmachine1_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine1_refresh_req) begin
end else begin
if (sdram_bankmachine1_cmd_buffer_source_valid) begin
if (sdram_bankmachine1_has_openrow) begin
if (sdram_bankmachine1_hit) begin
if (sdram_bankmachine1_cas_allowed) begin
if (sdram_bankmachine1_cmd_buffer_source_payload_we) begin
sdram_bankmachine1_cmd_payload_we <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_130 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_131;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_payload_is_cmd <= 1'd0;
case (bankmachine1_state)
1'd1: begin
if (sdram_bankmachine1_done) begin
sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
3'd4: begin
sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_131 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_132;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_req_wdata_ready <= 1'd0;
case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine1_refresh_req) begin
end else begin
if (sdram_bankmachine1_cmd_buffer_source_valid) begin
if (sdram_bankmachine1_has_openrow) begin
if (sdram_bankmachine1_hit) begin
if (sdram_bankmachine1_cas_allowed) begin
if (sdram_bankmachine1_cmd_buffer_source_payload_we) begin
sdram_bankmachine1_req_wdata_ready <= sdram_bankmachine1_cmd_ready;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_132 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_133;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_track_open <= 1'd0;
case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine1_track_open <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_133 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_134;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_track_close <= 1'd0;
case (bankmachine1_state)
1'd1: begin
sdram_bankmachine1_track_close <= 1'd1;
end
2'd2: begin
sdram_bankmachine1_track_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
sdram_bankmachine1_track_close <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_134 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_135;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_refresh_gnt <= 1'd0;
case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
if (sdram_bankmachine1_done) begin
sdram_bankmachine1_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_135 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine2_cmd_buffer_lookahead_sink_valid = sdram_bankmachine2_req_valid;
assign sdram_bankmachine2_req_ready = sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine2_req_we;
assign sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine2_req_addr;
assign sdram_bankmachine2_cmd_buffer_sink_valid = sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_ready = sdram_bankmachine2_cmd_buffer_sink_ready;
assign sdram_bankmachine2_cmd_buffer_sink_first = sdram_bankmachine2_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine2_cmd_buffer_sink_last = sdram_bankmachine2_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine2_cmd_buffer_sink_payload_we = sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine2_cmd_buffer_sink_payload_addr = sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine2_cmd_buffer_source_ready = (sdram_bankmachine2_req_wdata_ready | sdram_bankmachine2_req_rdata_valid);
assign sdram_bankmachine2_req_lock = (sdram_bankmachine2_cmd_buffer_lookahead_source_valid | sdram_bankmachine2_cmd_buffer_source_valid);
assign sdram_bankmachine2_hit = (sdram_bankmachine2_openrow == sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine2_cmd_payload_ba = 2'd2;
// synthesis translate_off
reg dummy_d_136;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_payload_a <= 14'd0;
if (sdram_bankmachine2_sel_row_addr) begin
sdram_bankmachine2_cmd_payload_a <= sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine2_cmd_payload_a <= ((sdram_bankmachine2_auto_precharge <<< 4'd10) | {sdram_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_136 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine2_wait = (~((sdram_bankmachine2_cmd_valid & sdram_bankmachine2_cmd_ready) & sdram_bankmachine2_cmd_payload_is_write));
// synthesis translate_off
reg dummy_d_137;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_auto_precharge <= 1'd0;
if ((sdram_bankmachine2_cmd_buffer_lookahead_source_valid & sdram_bankmachine2_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine2_auto_precharge <= (sdram_bankmachine2_track_close == 1'd0);
end
end
// synthesis translate_off
dummy_d_137 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
assign {sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
assign {sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
assign {sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
assign sdram_bankmachine2_cmd_buffer_lookahead_sink_ready = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine2_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine2_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_valid = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_first = sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_last = sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_138;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine2_cmd_buffer_lookahead_replace) begin
sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine2_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine2_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_138 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
assign sdram_bankmachine2_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | sdram_bankmachine2_cmd_buffer_lookahead_replace));
assign sdram_bankmachine2_cmd_buffer_lookahead_do_read = (sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re);
assign sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine2_cmd_buffer_lookahead_consume;
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (sdram_bankmachine2_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (sdram_bankmachine2_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine2_cmd_buffer_pipe_ce = (sdram_bankmachine2_cmd_buffer_source_ready | (~sdram_bankmachine2_cmd_buffer_valid_n));
assign sdram_bankmachine2_cmd_buffer_sink_ready = sdram_bankmachine2_cmd_buffer_pipe_ce;
assign sdram_bankmachine2_cmd_buffer_source_valid = sdram_bankmachine2_cmd_buffer_valid_n;
assign sdram_bankmachine2_cmd_buffer_busy = (1'd0 | sdram_bankmachine2_cmd_buffer_valid_n);
assign sdram_bankmachine2_cmd_buffer_source_first = sdram_bankmachine2_cmd_buffer_first_n;
assign sdram_bankmachine2_cmd_buffer_source_last = sdram_bankmachine2_cmd_buffer_last_n;
assign sdram_bankmachine2_done = (sdram_bankmachine2_count == 1'd0);
// synthesis translate_off
reg dummy_d_139;
// synthesis translate_on
always @(*) begin
bankmachine2_next_state <= 4'd0;
bankmachine2_next_state <= bankmachine2_state;
case (bankmachine2_state)
1'd1: begin
if (sdram_bankmachine2_done) begin
if (sdram_bankmachine2_cmd_ready) begin
bankmachine2_next_state <= 3'd5;
end
end
end
2'd2: begin
if (sdram_bankmachine2_done) begin
bankmachine2_next_state <= 3'd5;
end
end
2'd3: begin
if ((sdram_bankmachine2_cmd_ready & sdram_bankmachine2_ras_allowed)) begin
bankmachine2_next_state <= 3'd7;
end
end
3'd4: begin
if ((~sdram_bankmachine2_refresh_req)) begin
bankmachine2_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine2_next_state <= 3'd6;
end
3'd6: begin
bankmachine2_next_state <= 2'd3;
end
3'd7: begin
bankmachine2_next_state <= 4'd8;
end
4'd8: begin
bankmachine2_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine2_refresh_req) begin
bankmachine2_next_state <= 3'd4;
end else begin
if (sdram_bankmachine2_cmd_buffer_source_valid) begin
if (sdram_bankmachine2_has_openrow) begin
if (sdram_bankmachine2_hit) begin
if (sdram_bankmachine2_cas_allowed) begin
if ((sdram_bankmachine2_cmd_ready & sdram_bankmachine2_auto_precharge)) begin
bankmachine2_next_state <= 2'd2;
end
end
end else begin
bankmachine2_next_state <= 1'd1;
end
end else begin
bankmachine2_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
dummy_d_139 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_140;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_payload_is_write <= 1'd0;
case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine2_refresh_req) begin
end else begin
if (sdram_bankmachine2_cmd_buffer_source_valid) begin
if (sdram_bankmachine2_has_openrow) begin
if (sdram_bankmachine2_hit) begin
if (sdram_bankmachine2_cas_allowed) begin
if (sdram_bankmachine2_cmd_buffer_source_payload_we) begin
sdram_bankmachine2_cmd_payload_is_write <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_140 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_141;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_track_close <= 1'd0;
case (bankmachine2_state)
1'd1: begin
sdram_bankmachine2_track_close <= 1'd1;
end
2'd2: begin
sdram_bankmachine2_track_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
sdram_bankmachine2_track_close <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_141 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_142;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_req_rdata_valid <= 1'd0;
case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine2_refresh_req) begin
end else begin
if (sdram_bankmachine2_cmd_buffer_source_valid) begin
if (sdram_bankmachine2_has_openrow) begin
if (sdram_bankmachine2_hit) begin
if (sdram_bankmachine2_cas_allowed) begin
if (sdram_bankmachine2_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine2_req_rdata_valid <= sdram_bankmachine2_cmd_ready;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_142 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_143;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_payload_is_read <= 1'd0;
case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine2_refresh_req) begin
end else begin
if (sdram_bankmachine2_cmd_buffer_source_valid) begin
if (sdram_bankmachine2_has_openrow) begin
if (sdram_bankmachine2_hit) begin
if (sdram_bankmachine2_cas_allowed) begin
if (sdram_bankmachine2_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine2_cmd_payload_is_read <= 1'd1;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_143 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_144;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_refresh_gnt <= 1'd0;
case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
if (sdram_bankmachine2_done) begin
sdram_bankmachine2_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_144 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_145;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_sel_row_addr <= 1'd0;
case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine2_sel_row_addr <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_145 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_146;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_valid <= 1'd0;
case (bankmachine2_state)
1'd1: begin
if (sdram_bankmachine2_done) begin
sdram_bankmachine2_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine2_cmd_valid <= sdram_bankmachine2_ras_allowed;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine2_refresh_req) begin
end else begin
if (sdram_bankmachine2_cmd_buffer_source_valid) begin
if (sdram_bankmachine2_has_openrow) begin
if (sdram_bankmachine2_hit) begin
if (sdram_bankmachine2_cas_allowed) begin
sdram_bankmachine2_cmd_valid <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_146 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_147;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_payload_cas <= 1'd0;
case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine2_refresh_req) begin
end else begin
if (sdram_bankmachine2_cmd_buffer_source_valid) begin
if (sdram_bankmachine2_has_openrow) begin
if (sdram_bankmachine2_hit) begin
if (sdram_bankmachine2_cas_allowed) begin
sdram_bankmachine2_cmd_payload_cas <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_147 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_148;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_payload_ras <= 1'd0;
case (bankmachine2_state)
1'd1: begin
if (sdram_bankmachine2_done) begin
sdram_bankmachine2_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine2_cmd_payload_ras <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_148 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_149;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_payload_we <= 1'd0;
case (bankmachine2_state)
1'd1: begin
if (sdram_bankmachine2_done) begin
sdram_bankmachine2_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine2_refresh_req) begin
end else begin
if (sdram_bankmachine2_cmd_buffer_source_valid) begin
if (sdram_bankmachine2_has_openrow) begin
if (sdram_bankmachine2_hit) begin
if (sdram_bankmachine2_cas_allowed) begin
if (sdram_bankmachine2_cmd_buffer_source_payload_we) begin
sdram_bankmachine2_cmd_payload_we <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_149 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_150;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_payload_is_cmd <= 1'd0;
case (bankmachine2_state)
1'd1: begin
if (sdram_bankmachine2_done) begin
sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
3'd4: begin
sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_150 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_151;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_req_wdata_ready <= 1'd0;
case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine2_refresh_req) begin
end else begin
if (sdram_bankmachine2_cmd_buffer_source_valid) begin
if (sdram_bankmachine2_has_openrow) begin
if (sdram_bankmachine2_hit) begin
if (sdram_bankmachine2_cas_allowed) begin
if (sdram_bankmachine2_cmd_buffer_source_payload_we) begin
sdram_bankmachine2_req_wdata_ready <= sdram_bankmachine2_cmd_ready;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_151 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_152;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_track_open <= 1'd0;
case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine2_track_open <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_152 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine3_cmd_buffer_lookahead_sink_valid = sdram_bankmachine3_req_valid;
assign sdram_bankmachine3_req_ready = sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine3_req_we;
assign sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine3_req_addr;
assign sdram_bankmachine3_cmd_buffer_sink_valid = sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_ready = sdram_bankmachine3_cmd_buffer_sink_ready;
assign sdram_bankmachine3_cmd_buffer_sink_first = sdram_bankmachine3_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine3_cmd_buffer_sink_last = sdram_bankmachine3_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine3_cmd_buffer_sink_payload_we = sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine3_cmd_buffer_sink_payload_addr = sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine3_cmd_buffer_source_ready = (sdram_bankmachine3_req_wdata_ready | sdram_bankmachine3_req_rdata_valid);
assign sdram_bankmachine3_req_lock = (sdram_bankmachine3_cmd_buffer_lookahead_source_valid | sdram_bankmachine3_cmd_buffer_source_valid);
assign sdram_bankmachine3_hit = (sdram_bankmachine3_openrow == sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine3_cmd_payload_ba = 2'd3;
// synthesis translate_off
reg dummy_d_153;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_payload_a <= 14'd0;
if (sdram_bankmachine3_sel_row_addr) begin
sdram_bankmachine3_cmd_payload_a <= sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine3_cmd_payload_a <= ((sdram_bankmachine3_auto_precharge <<< 4'd10) | {sdram_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_153 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine3_wait = (~((sdram_bankmachine3_cmd_valid & sdram_bankmachine3_cmd_ready) & sdram_bankmachine3_cmd_payload_is_write));
// synthesis translate_off
reg dummy_d_154;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_auto_precharge <= 1'd0;
if ((sdram_bankmachine3_cmd_buffer_lookahead_source_valid & sdram_bankmachine3_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine3_auto_precharge <= (sdram_bankmachine3_track_close == 1'd0);
end
end
// synthesis translate_off
dummy_d_154 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
assign {sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
assign {sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
assign {sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
assign sdram_bankmachine3_cmd_buffer_lookahead_sink_ready = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine3_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine3_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_valid = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_first = sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_last = sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_155;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine3_cmd_buffer_lookahead_replace) begin
sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine3_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine3_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_155 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
assign sdram_bankmachine3_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | sdram_bankmachine3_cmd_buffer_lookahead_replace));
assign sdram_bankmachine3_cmd_buffer_lookahead_do_read = (sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re);
assign sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine3_cmd_buffer_lookahead_consume;
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (sdram_bankmachine3_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (sdram_bankmachine3_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine3_cmd_buffer_pipe_ce = (sdram_bankmachine3_cmd_buffer_source_ready | (~sdram_bankmachine3_cmd_buffer_valid_n));
assign sdram_bankmachine3_cmd_buffer_sink_ready = sdram_bankmachine3_cmd_buffer_pipe_ce;
assign sdram_bankmachine3_cmd_buffer_source_valid = sdram_bankmachine3_cmd_buffer_valid_n;
assign sdram_bankmachine3_cmd_buffer_busy = (1'd0 | sdram_bankmachine3_cmd_buffer_valid_n);
assign sdram_bankmachine3_cmd_buffer_source_first = sdram_bankmachine3_cmd_buffer_first_n;
assign sdram_bankmachine3_cmd_buffer_source_last = sdram_bankmachine3_cmd_buffer_last_n;
assign sdram_bankmachine3_done = (sdram_bankmachine3_count == 1'd0);
// synthesis translate_off
reg dummy_d_156;
// synthesis translate_on
always @(*) begin
bankmachine3_next_state <= 4'd0;
bankmachine3_next_state <= bankmachine3_state;
case (bankmachine3_state)
1'd1: begin
if (sdram_bankmachine3_done) begin
if (sdram_bankmachine3_cmd_ready) begin
bankmachine3_next_state <= 3'd5;
end
end
end
2'd2: begin
if (sdram_bankmachine3_done) begin
bankmachine3_next_state <= 3'd5;
end
end
2'd3: begin
if ((sdram_bankmachine3_cmd_ready & sdram_bankmachine3_ras_allowed)) begin
bankmachine3_next_state <= 3'd7;
end
end
3'd4: begin
if ((~sdram_bankmachine3_refresh_req)) begin
bankmachine3_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine3_next_state <= 3'd6;
end
3'd6: begin
bankmachine3_next_state <= 2'd3;
end
3'd7: begin
bankmachine3_next_state <= 4'd8;
end
4'd8: begin
bankmachine3_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine3_refresh_req) begin
bankmachine3_next_state <= 3'd4;
end else begin
if (sdram_bankmachine3_cmd_buffer_source_valid) begin
if (sdram_bankmachine3_has_openrow) begin
if (sdram_bankmachine3_hit) begin
if (sdram_bankmachine3_cas_allowed) begin
if ((sdram_bankmachine3_cmd_ready & sdram_bankmachine3_auto_precharge)) begin
bankmachine3_next_state <= 2'd2;
end
end
end else begin
bankmachine3_next_state <= 1'd1;
end
end else begin
bankmachine3_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
dummy_d_156 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_157;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_payload_we <= 1'd0;
case (bankmachine3_state)
1'd1: begin
if (sdram_bankmachine3_done) begin
sdram_bankmachine3_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine3_refresh_req) begin
end else begin
if (sdram_bankmachine3_cmd_buffer_source_valid) begin
if (sdram_bankmachine3_has_openrow) begin
if (sdram_bankmachine3_hit) begin
if (sdram_bankmachine3_cas_allowed) begin
if (sdram_bankmachine3_cmd_buffer_source_payload_we) begin
sdram_bankmachine3_cmd_payload_we <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_157 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_158;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_payload_is_cmd <= 1'd0;
case (bankmachine3_state)
1'd1: begin
if (sdram_bankmachine3_done) begin
sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
3'd4: begin
sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_158 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_159;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_req_wdata_ready <= 1'd0;
case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine3_refresh_req) begin
end else begin
if (sdram_bankmachine3_cmd_buffer_source_valid) begin
if (sdram_bankmachine3_has_openrow) begin
if (sdram_bankmachine3_hit) begin
if (sdram_bankmachine3_cas_allowed) begin
if (sdram_bankmachine3_cmd_buffer_source_payload_we) begin
sdram_bankmachine3_req_wdata_ready <= sdram_bankmachine3_cmd_ready;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_159 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_160;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_track_open <= 1'd0;
case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine3_track_open <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_160 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_161;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_payload_is_write <= 1'd0;
case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine3_refresh_req) begin
end else begin
if (sdram_bankmachine3_cmd_buffer_source_valid) begin
if (sdram_bankmachine3_has_openrow) begin
if (sdram_bankmachine3_hit) begin
if (sdram_bankmachine3_cas_allowed) begin
if (sdram_bankmachine3_cmd_buffer_source_payload_we) begin
sdram_bankmachine3_cmd_payload_is_write <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_161 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_162;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_track_close <= 1'd0;
case (bankmachine3_state)
1'd1: begin
sdram_bankmachine3_track_close <= 1'd1;
end
2'd2: begin
sdram_bankmachine3_track_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
sdram_bankmachine3_track_close <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_162 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_163;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_req_rdata_valid <= 1'd0;
case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine3_refresh_req) begin
end else begin
if (sdram_bankmachine3_cmd_buffer_source_valid) begin
if (sdram_bankmachine3_has_openrow) begin
if (sdram_bankmachine3_hit) begin
if (sdram_bankmachine3_cas_allowed) begin
if (sdram_bankmachine3_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine3_req_rdata_valid <= sdram_bankmachine3_cmd_ready;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_163 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_164;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_payload_is_read <= 1'd0;
case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine3_refresh_req) begin
end else begin
if (sdram_bankmachine3_cmd_buffer_source_valid) begin
if (sdram_bankmachine3_has_openrow) begin
if (sdram_bankmachine3_hit) begin
if (sdram_bankmachine3_cas_allowed) begin
if (sdram_bankmachine3_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine3_cmd_payload_is_read <= 1'd1;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_164 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_165;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_refresh_gnt <= 1'd0;
case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
if (sdram_bankmachine3_done) begin
sdram_bankmachine3_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_165 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_166;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_sel_row_addr <= 1'd0;
case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine3_sel_row_addr <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_166 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_167;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_valid <= 1'd0;
case (bankmachine3_state)
1'd1: begin
if (sdram_bankmachine3_done) begin
sdram_bankmachine3_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine3_cmd_valid <= sdram_bankmachine3_ras_allowed;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine3_refresh_req) begin
end else begin
if (sdram_bankmachine3_cmd_buffer_source_valid) begin
if (sdram_bankmachine3_has_openrow) begin
if (sdram_bankmachine3_hit) begin
if (sdram_bankmachine3_cas_allowed) begin
sdram_bankmachine3_cmd_valid <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_167 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_168;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_payload_cas <= 1'd0;
case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine3_refresh_req) begin
end else begin
if (sdram_bankmachine3_cmd_buffer_source_valid) begin
if (sdram_bankmachine3_has_openrow) begin
if (sdram_bankmachine3_hit) begin
if (sdram_bankmachine3_cas_allowed) begin
sdram_bankmachine3_cmd_payload_cas <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_168 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_169;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_payload_ras <= 1'd0;
case (bankmachine3_state)
1'd1: begin
if (sdram_bankmachine3_done) begin
sdram_bankmachine3_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine3_cmd_payload_ras <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_169 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine4_cmd_buffer_lookahead_sink_valid = sdram_bankmachine4_req_valid;
assign sdram_bankmachine4_req_ready = sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine4_req_we;
assign sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine4_req_addr;
assign sdram_bankmachine4_cmd_buffer_sink_valid = sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_ready = sdram_bankmachine4_cmd_buffer_sink_ready;
assign sdram_bankmachine4_cmd_buffer_sink_first = sdram_bankmachine4_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine4_cmd_buffer_sink_last = sdram_bankmachine4_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine4_cmd_buffer_sink_payload_we = sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine4_cmd_buffer_sink_payload_addr = sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine4_cmd_buffer_source_ready = (sdram_bankmachine4_req_wdata_ready | sdram_bankmachine4_req_rdata_valid);
assign sdram_bankmachine4_req_lock = (sdram_bankmachine4_cmd_buffer_lookahead_source_valid | sdram_bankmachine4_cmd_buffer_source_valid);
assign sdram_bankmachine4_hit = (sdram_bankmachine4_openrow == sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine4_cmd_payload_ba = 3'd4;
// synthesis translate_off
reg dummy_d_170;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_payload_a <= 14'd0;
if (sdram_bankmachine4_sel_row_addr) begin
sdram_bankmachine4_cmd_payload_a <= sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine4_cmd_payload_a <= ((sdram_bankmachine4_auto_precharge <<< 4'd10) | {sdram_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_170 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine4_wait = (~((sdram_bankmachine4_cmd_valid & sdram_bankmachine4_cmd_ready) & sdram_bankmachine4_cmd_payload_is_write));
// synthesis translate_off
reg dummy_d_171;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_auto_precharge <= 1'd0;
if ((sdram_bankmachine4_cmd_buffer_lookahead_source_valid & sdram_bankmachine4_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine4_auto_precharge <= (sdram_bankmachine4_track_close == 1'd0);
end
end
// synthesis translate_off
dummy_d_171 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
assign {sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
assign {sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
assign {sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
assign sdram_bankmachine4_cmd_buffer_lookahead_sink_ready = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine4_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine4_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_valid = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_first = sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_last = sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_172;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine4_cmd_buffer_lookahead_replace) begin
sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine4_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine4_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_172 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
assign sdram_bankmachine4_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | sdram_bankmachine4_cmd_buffer_lookahead_replace));
assign sdram_bankmachine4_cmd_buffer_lookahead_do_read = (sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re);
assign sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine4_cmd_buffer_lookahead_consume;
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (sdram_bankmachine4_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (sdram_bankmachine4_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine4_cmd_buffer_pipe_ce = (sdram_bankmachine4_cmd_buffer_source_ready | (~sdram_bankmachine4_cmd_buffer_valid_n));
assign sdram_bankmachine4_cmd_buffer_sink_ready = sdram_bankmachine4_cmd_buffer_pipe_ce;
assign sdram_bankmachine4_cmd_buffer_source_valid = sdram_bankmachine4_cmd_buffer_valid_n;
assign sdram_bankmachine4_cmd_buffer_busy = (1'd0 | sdram_bankmachine4_cmd_buffer_valid_n);
assign sdram_bankmachine4_cmd_buffer_source_first = sdram_bankmachine4_cmd_buffer_first_n;
assign sdram_bankmachine4_cmd_buffer_source_last = sdram_bankmachine4_cmd_buffer_last_n;
assign sdram_bankmachine4_done = (sdram_bankmachine4_count == 1'd0);
// synthesis translate_off
reg dummy_d_173;
// synthesis translate_on
always @(*) begin
bankmachine4_next_state <= 4'd0;
bankmachine4_next_state <= bankmachine4_state;
case (bankmachine4_state)
1'd1: begin
if (sdram_bankmachine4_done) begin
if (sdram_bankmachine4_cmd_ready) begin
bankmachine4_next_state <= 3'd5;
end
end
end
2'd2: begin
if (sdram_bankmachine4_done) begin
bankmachine4_next_state <= 3'd5;
end
end
2'd3: begin
if ((sdram_bankmachine4_cmd_ready & sdram_bankmachine4_ras_allowed)) begin
bankmachine4_next_state <= 3'd7;
end
end
3'd4: begin
if ((~sdram_bankmachine4_refresh_req)) begin
bankmachine4_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine4_next_state <= 3'd6;
end
3'd6: begin
bankmachine4_next_state <= 2'd3;
end
3'd7: begin
bankmachine4_next_state <= 4'd8;
end
4'd8: begin
bankmachine4_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine4_refresh_req) begin
bankmachine4_next_state <= 3'd4;
end else begin
if (sdram_bankmachine4_cmd_buffer_source_valid) begin
if (sdram_bankmachine4_has_openrow) begin
if (sdram_bankmachine4_hit) begin
if (sdram_bankmachine4_cas_allowed) begin
if ((sdram_bankmachine4_cmd_ready & sdram_bankmachine4_auto_precharge)) begin
bankmachine4_next_state <= 2'd2;
end
end
end else begin
bankmachine4_next_state <= 1'd1;
end
end else begin
bankmachine4_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
dummy_d_173 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_174;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_payload_cas <= 1'd0;
case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine4_refresh_req) begin
end else begin
if (sdram_bankmachine4_cmd_buffer_source_valid) begin
if (sdram_bankmachine4_has_openrow) begin
if (sdram_bankmachine4_hit) begin
if (sdram_bankmachine4_cas_allowed) begin
sdram_bankmachine4_cmd_payload_cas <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_174 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_175;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_payload_ras <= 1'd0;
case (bankmachine4_state)
1'd1: begin
if (sdram_bankmachine4_done) begin
sdram_bankmachine4_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine4_cmd_payload_ras <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_175 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_176;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_payload_we <= 1'd0;
case (bankmachine4_state)
1'd1: begin
if (sdram_bankmachine4_done) begin
sdram_bankmachine4_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine4_refresh_req) begin
end else begin
if (sdram_bankmachine4_cmd_buffer_source_valid) begin
if (sdram_bankmachine4_has_openrow) begin
if (sdram_bankmachine4_hit) begin
if (sdram_bankmachine4_cas_allowed) begin
if (sdram_bankmachine4_cmd_buffer_source_payload_we) begin
sdram_bankmachine4_cmd_payload_we <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_176 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_177;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_payload_is_cmd <= 1'd0;
case (bankmachine4_state)
1'd1: begin
if (sdram_bankmachine4_done) begin
sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
3'd4: begin
sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_177 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_178;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_req_wdata_ready <= 1'd0;
case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine4_refresh_req) begin
end else begin
if (sdram_bankmachine4_cmd_buffer_source_valid) begin
if (sdram_bankmachine4_has_openrow) begin
if (sdram_bankmachine4_hit) begin
if (sdram_bankmachine4_cas_allowed) begin
if (sdram_bankmachine4_cmd_buffer_source_payload_we) begin
sdram_bankmachine4_req_wdata_ready <= sdram_bankmachine4_cmd_ready;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_178 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_179;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_track_open <= 1'd0;
case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine4_track_open <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_179 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_180;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_payload_is_write <= 1'd0;
case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine4_refresh_req) begin
end else begin
if (sdram_bankmachine4_cmd_buffer_source_valid) begin
if (sdram_bankmachine4_has_openrow) begin
if (sdram_bankmachine4_hit) begin
if (sdram_bankmachine4_cas_allowed) begin
if (sdram_bankmachine4_cmd_buffer_source_payload_we) begin
sdram_bankmachine4_cmd_payload_is_write <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_180 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_181;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_track_close <= 1'd0;
case (bankmachine4_state)
1'd1: begin
sdram_bankmachine4_track_close <= 1'd1;
end
2'd2: begin
sdram_bankmachine4_track_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
sdram_bankmachine4_track_close <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_181 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_182;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_req_rdata_valid <= 1'd0;
case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine4_refresh_req) begin
end else begin
if (sdram_bankmachine4_cmd_buffer_source_valid) begin
if (sdram_bankmachine4_has_openrow) begin
if (sdram_bankmachine4_hit) begin
if (sdram_bankmachine4_cas_allowed) begin
if (sdram_bankmachine4_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine4_req_rdata_valid <= sdram_bankmachine4_cmd_ready;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_182 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_183;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_payload_is_read <= 1'd0;
case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine4_refresh_req) begin
end else begin
if (sdram_bankmachine4_cmd_buffer_source_valid) begin
if (sdram_bankmachine4_has_openrow) begin
if (sdram_bankmachine4_hit) begin
if (sdram_bankmachine4_cas_allowed) begin
if (sdram_bankmachine4_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine4_cmd_payload_is_read <= 1'd1;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_183 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_184;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_refresh_gnt <= 1'd0;
case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
if (sdram_bankmachine4_done) begin
sdram_bankmachine4_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_184 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_185;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_sel_row_addr <= 1'd0;
case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine4_sel_row_addr <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_185 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_186;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_valid <= 1'd0;
case (bankmachine4_state)
1'd1: begin
if (sdram_bankmachine4_done) begin
sdram_bankmachine4_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine4_cmd_valid <= sdram_bankmachine4_ras_allowed;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine4_refresh_req) begin
end else begin
if (sdram_bankmachine4_cmd_buffer_source_valid) begin
if (sdram_bankmachine4_has_openrow) begin
if (sdram_bankmachine4_hit) begin
if (sdram_bankmachine4_cas_allowed) begin
sdram_bankmachine4_cmd_valid <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_186 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine5_cmd_buffer_lookahead_sink_valid = sdram_bankmachine5_req_valid;
assign sdram_bankmachine5_req_ready = sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine5_req_we;
assign sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine5_req_addr;
assign sdram_bankmachine5_cmd_buffer_sink_valid = sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_ready = sdram_bankmachine5_cmd_buffer_sink_ready;
assign sdram_bankmachine5_cmd_buffer_sink_first = sdram_bankmachine5_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine5_cmd_buffer_sink_last = sdram_bankmachine5_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine5_cmd_buffer_sink_payload_we = sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine5_cmd_buffer_sink_payload_addr = sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine5_cmd_buffer_source_ready = (sdram_bankmachine5_req_wdata_ready | sdram_bankmachine5_req_rdata_valid);
assign sdram_bankmachine5_req_lock = (sdram_bankmachine5_cmd_buffer_lookahead_source_valid | sdram_bankmachine5_cmd_buffer_source_valid);
assign sdram_bankmachine5_hit = (sdram_bankmachine5_openrow == sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine5_cmd_payload_ba = 3'd5;
// synthesis translate_off
reg dummy_d_187;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_payload_a <= 14'd0;
if (sdram_bankmachine5_sel_row_addr) begin
sdram_bankmachine5_cmd_payload_a <= sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine5_cmd_payload_a <= ((sdram_bankmachine5_auto_precharge <<< 4'd10) | {sdram_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_187 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine5_wait = (~((sdram_bankmachine5_cmd_valid & sdram_bankmachine5_cmd_ready) & sdram_bankmachine5_cmd_payload_is_write));
// synthesis translate_off
reg dummy_d_188;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_auto_precharge <= 1'd0;
if ((sdram_bankmachine5_cmd_buffer_lookahead_source_valid & sdram_bankmachine5_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine5_auto_precharge <= (sdram_bankmachine5_track_close == 1'd0);
end
end
// synthesis translate_off
dummy_d_188 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
assign {sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
assign {sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
assign {sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
assign sdram_bankmachine5_cmd_buffer_lookahead_sink_ready = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine5_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine5_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_valid = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_first = sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_last = sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_189;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine5_cmd_buffer_lookahead_replace) begin
sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine5_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine5_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_189 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
assign sdram_bankmachine5_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | sdram_bankmachine5_cmd_buffer_lookahead_replace));
assign sdram_bankmachine5_cmd_buffer_lookahead_do_read = (sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re);
assign sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine5_cmd_buffer_lookahead_consume;
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (sdram_bankmachine5_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (sdram_bankmachine5_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine5_cmd_buffer_pipe_ce = (sdram_bankmachine5_cmd_buffer_source_ready | (~sdram_bankmachine5_cmd_buffer_valid_n));
assign sdram_bankmachine5_cmd_buffer_sink_ready = sdram_bankmachine5_cmd_buffer_pipe_ce;
assign sdram_bankmachine5_cmd_buffer_source_valid = sdram_bankmachine5_cmd_buffer_valid_n;
assign sdram_bankmachine5_cmd_buffer_busy = (1'd0 | sdram_bankmachine5_cmd_buffer_valid_n);
assign sdram_bankmachine5_cmd_buffer_source_first = sdram_bankmachine5_cmd_buffer_first_n;
assign sdram_bankmachine5_cmd_buffer_source_last = sdram_bankmachine5_cmd_buffer_last_n;
assign sdram_bankmachine5_done = (sdram_bankmachine5_count == 1'd0);
// synthesis translate_off
reg dummy_d_190;
// synthesis translate_on
always @(*) begin
bankmachine5_next_state <= 4'd0;
bankmachine5_next_state <= bankmachine5_state;
case (bankmachine5_state)
1'd1: begin
if (sdram_bankmachine5_done) begin
if (sdram_bankmachine5_cmd_ready) begin
bankmachine5_next_state <= 3'd5;
end
end
end
2'd2: begin
if (sdram_bankmachine5_done) begin
bankmachine5_next_state <= 3'd5;
end
end
2'd3: begin
if ((sdram_bankmachine5_cmd_ready & sdram_bankmachine5_ras_allowed)) begin
bankmachine5_next_state <= 3'd7;
end
end
3'd4: begin
if ((~sdram_bankmachine5_refresh_req)) begin
bankmachine5_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine5_next_state <= 3'd6;
end
3'd6: begin
bankmachine5_next_state <= 2'd3;
end
3'd7: begin
bankmachine5_next_state <= 4'd8;
end
4'd8: begin
bankmachine5_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine5_refresh_req) begin
bankmachine5_next_state <= 3'd4;
end else begin
if (sdram_bankmachine5_cmd_buffer_source_valid) begin
if (sdram_bankmachine5_has_openrow) begin
if (sdram_bankmachine5_hit) begin
if (sdram_bankmachine5_cas_allowed) begin
if ((sdram_bankmachine5_cmd_ready & sdram_bankmachine5_auto_precharge)) begin
bankmachine5_next_state <= 2'd2;
end
end
end else begin
bankmachine5_next_state <= 1'd1;
end
end else begin
bankmachine5_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
dummy_d_190 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_191;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_payload_cas <= 1'd0;
case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine5_refresh_req) begin
end else begin
if (sdram_bankmachine5_cmd_buffer_source_valid) begin
if (sdram_bankmachine5_has_openrow) begin
if (sdram_bankmachine5_hit) begin
if (sdram_bankmachine5_cas_allowed) begin
sdram_bankmachine5_cmd_payload_cas <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_191 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_192;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_payload_ras <= 1'd0;
case (bankmachine5_state)
1'd1: begin
if (sdram_bankmachine5_done) begin
sdram_bankmachine5_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine5_cmd_payload_ras <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_192 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_193;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_payload_we <= 1'd0;
case (bankmachine5_state)
1'd1: begin
if (sdram_bankmachine5_done) begin
sdram_bankmachine5_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine5_refresh_req) begin
end else begin
if (sdram_bankmachine5_cmd_buffer_source_valid) begin
if (sdram_bankmachine5_has_openrow) begin
if (sdram_bankmachine5_hit) begin
if (sdram_bankmachine5_cas_allowed) begin
if (sdram_bankmachine5_cmd_buffer_source_payload_we) begin
sdram_bankmachine5_cmd_payload_we <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_193 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_194;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_payload_is_cmd <= 1'd0;
case (bankmachine5_state)
1'd1: begin
if (sdram_bankmachine5_done) begin
sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
end
3'd4: begin
sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_194 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_195;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_req_wdata_ready <= 1'd0;
case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine5_refresh_req) begin
end else begin
if (sdram_bankmachine5_cmd_buffer_source_valid) begin
if (sdram_bankmachine5_has_openrow) begin
if (sdram_bankmachine5_hit) begin
if (sdram_bankmachine5_cas_allowed) begin
if (sdram_bankmachine5_cmd_buffer_source_payload_we) begin
sdram_bankmachine5_req_wdata_ready <= sdram_bankmachine5_cmd_ready;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_195 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_196;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_track_open <= 1'd0;
case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine5_track_open <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_196 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_197;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_payload_is_write <= 1'd0;
case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine5_refresh_req) begin
end else begin
if (sdram_bankmachine5_cmd_buffer_source_valid) begin
if (sdram_bankmachine5_has_openrow) begin
if (sdram_bankmachine5_hit) begin
if (sdram_bankmachine5_cas_allowed) begin
if (sdram_bankmachine5_cmd_buffer_source_payload_we) begin
sdram_bankmachine5_cmd_payload_is_write <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_197 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_198;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_track_close <= 1'd0;
case (bankmachine5_state)
1'd1: begin
sdram_bankmachine5_track_close <= 1'd1;
end
2'd2: begin
sdram_bankmachine5_track_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
sdram_bankmachine5_track_close <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_198 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_199;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_req_rdata_valid <= 1'd0;
case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine5_refresh_req) begin
end else begin
if (sdram_bankmachine5_cmd_buffer_source_valid) begin
if (sdram_bankmachine5_has_openrow) begin
if (sdram_bankmachine5_hit) begin
if (sdram_bankmachine5_cas_allowed) begin
if (sdram_bankmachine5_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine5_req_rdata_valid <= sdram_bankmachine5_cmd_ready;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_199 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_200;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_payload_is_read <= 1'd0;
case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine5_refresh_req) begin
end else begin
if (sdram_bankmachine5_cmd_buffer_source_valid) begin
if (sdram_bankmachine5_has_openrow) begin
if (sdram_bankmachine5_hit) begin
if (sdram_bankmachine5_cas_allowed) begin
if (sdram_bankmachine5_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine5_cmd_payload_is_read <= 1'd1;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_200 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_201;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_refresh_gnt <= 1'd0;
case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
if (sdram_bankmachine5_done) begin
sdram_bankmachine5_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_201 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_202;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_sel_row_addr <= 1'd0;
case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine5_sel_row_addr <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_202 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_203;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_valid <= 1'd0;
case (bankmachine5_state)
1'd1: begin
if (sdram_bankmachine5_done) begin
sdram_bankmachine5_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine5_cmd_valid <= sdram_bankmachine5_ras_allowed;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine5_refresh_req) begin
end else begin
if (sdram_bankmachine5_cmd_buffer_source_valid) begin
if (sdram_bankmachine5_has_openrow) begin
if (sdram_bankmachine5_hit) begin
if (sdram_bankmachine5_cas_allowed) begin
sdram_bankmachine5_cmd_valid <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_203 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine6_cmd_buffer_lookahead_sink_valid = sdram_bankmachine6_req_valid;
assign sdram_bankmachine6_req_ready = sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine6_req_we;
assign sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine6_req_addr;
assign sdram_bankmachine6_cmd_buffer_sink_valid = sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_ready = sdram_bankmachine6_cmd_buffer_sink_ready;
assign sdram_bankmachine6_cmd_buffer_sink_first = sdram_bankmachine6_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine6_cmd_buffer_sink_last = sdram_bankmachine6_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine6_cmd_buffer_sink_payload_we = sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine6_cmd_buffer_sink_payload_addr = sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine6_cmd_buffer_source_ready = (sdram_bankmachine6_req_wdata_ready | sdram_bankmachine6_req_rdata_valid);
assign sdram_bankmachine6_req_lock = (sdram_bankmachine6_cmd_buffer_lookahead_source_valid | sdram_bankmachine6_cmd_buffer_source_valid);
assign sdram_bankmachine6_hit = (sdram_bankmachine6_openrow == sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine6_cmd_payload_ba = 3'd6;
// synthesis translate_off
reg dummy_d_204;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_payload_a <= 14'd0;
if (sdram_bankmachine6_sel_row_addr) begin
sdram_bankmachine6_cmd_payload_a <= sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine6_cmd_payload_a <= ((sdram_bankmachine6_auto_precharge <<< 4'd10) | {sdram_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_204 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine6_wait = (~((sdram_bankmachine6_cmd_valid & sdram_bankmachine6_cmd_ready) & sdram_bankmachine6_cmd_payload_is_write));
// synthesis translate_off
reg dummy_d_205;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_auto_precharge <= 1'd0;
if ((sdram_bankmachine6_cmd_buffer_lookahead_source_valid & sdram_bankmachine6_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine6_auto_precharge <= (sdram_bankmachine6_track_close == 1'd0);
end
end
// synthesis translate_off
dummy_d_205 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
assign {sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
assign {sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
assign {sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
assign sdram_bankmachine6_cmd_buffer_lookahead_sink_ready = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine6_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine6_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_valid = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_first = sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_last = sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_206;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine6_cmd_buffer_lookahead_replace) begin
sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine6_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine6_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_206 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
assign sdram_bankmachine6_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | sdram_bankmachine6_cmd_buffer_lookahead_replace));
assign sdram_bankmachine6_cmd_buffer_lookahead_do_read = (sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re);
assign sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine6_cmd_buffer_lookahead_consume;
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (sdram_bankmachine6_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (sdram_bankmachine6_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine6_cmd_buffer_pipe_ce = (sdram_bankmachine6_cmd_buffer_source_ready | (~sdram_bankmachine6_cmd_buffer_valid_n));
assign sdram_bankmachine6_cmd_buffer_sink_ready = sdram_bankmachine6_cmd_buffer_pipe_ce;
assign sdram_bankmachine6_cmd_buffer_source_valid = sdram_bankmachine6_cmd_buffer_valid_n;
assign sdram_bankmachine6_cmd_buffer_busy = (1'd0 | sdram_bankmachine6_cmd_buffer_valid_n);
assign sdram_bankmachine6_cmd_buffer_source_first = sdram_bankmachine6_cmd_buffer_first_n;
assign sdram_bankmachine6_cmd_buffer_source_last = sdram_bankmachine6_cmd_buffer_last_n;
assign sdram_bankmachine6_done = (sdram_bankmachine6_count == 1'd0);
// synthesis translate_off
reg dummy_d_207;
// synthesis translate_on
always @(*) begin
bankmachine6_next_state <= 4'd0;
bankmachine6_next_state <= bankmachine6_state;
case (bankmachine6_state)
1'd1: begin
if (sdram_bankmachine6_done) begin
if (sdram_bankmachine6_cmd_ready) begin
bankmachine6_next_state <= 3'd5;
end
end
end
2'd2: begin
if (sdram_bankmachine6_done) begin
bankmachine6_next_state <= 3'd5;
end
end
2'd3: begin
if ((sdram_bankmachine6_cmd_ready & sdram_bankmachine6_ras_allowed)) begin
bankmachine6_next_state <= 3'd7;
end
end
3'd4: begin
if ((~sdram_bankmachine6_refresh_req)) begin
bankmachine6_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine6_next_state <= 3'd6;
end
3'd6: begin
bankmachine6_next_state <= 2'd3;
end
3'd7: begin
bankmachine6_next_state <= 4'd8;
end
4'd8: begin
bankmachine6_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine6_refresh_req) begin
bankmachine6_next_state <= 3'd4;
end else begin
if (sdram_bankmachine6_cmd_buffer_source_valid) begin
if (sdram_bankmachine6_has_openrow) begin
if (sdram_bankmachine6_hit) begin
if (sdram_bankmachine6_cas_allowed) begin
if ((sdram_bankmachine6_cmd_ready & sdram_bankmachine6_auto_precharge)) begin
bankmachine6_next_state <= 2'd2;
end
end
end else begin
bankmachine6_next_state <= 1'd1;
end
end else begin
bankmachine6_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
dummy_d_207 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_208;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_req_rdata_valid <= 1'd0;
case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine6_refresh_req) begin
end else begin
if (sdram_bankmachine6_cmd_buffer_source_valid) begin
if (sdram_bankmachine6_has_openrow) begin
if (sdram_bankmachine6_hit) begin
if (sdram_bankmachine6_cas_allowed) begin
if (sdram_bankmachine6_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine6_req_rdata_valid <= sdram_bankmachine6_cmd_ready;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_208 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_209;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_valid <= 1'd0;
case (bankmachine6_state)
1'd1: begin
if (sdram_bankmachine6_done) begin
sdram_bankmachine6_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine6_cmd_valid <= sdram_bankmachine6_ras_allowed;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine6_refresh_req) begin
end else begin
if (sdram_bankmachine6_cmd_buffer_source_valid) begin
if (sdram_bankmachine6_has_openrow) begin
if (sdram_bankmachine6_hit) begin
if (sdram_bankmachine6_cas_allowed) begin
sdram_bankmachine6_cmd_valid <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_209 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_210;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_payload_cas <= 1'd0;
case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine6_refresh_req) begin
end else begin
if (sdram_bankmachine6_cmd_buffer_source_valid) begin
if (sdram_bankmachine6_has_openrow) begin
if (sdram_bankmachine6_hit) begin
if (sdram_bankmachine6_cas_allowed) begin
sdram_bankmachine6_cmd_payload_cas <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_210 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_211;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_payload_ras <= 1'd0;
case (bankmachine6_state)
1'd1: begin
if (sdram_bankmachine6_done) begin
sdram_bankmachine6_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine6_cmd_payload_ras <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_211 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_212;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_payload_we <= 1'd0;
case (bankmachine6_state)
1'd1: begin
if (sdram_bankmachine6_done) begin
sdram_bankmachine6_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine6_refresh_req) begin
end else begin
if (sdram_bankmachine6_cmd_buffer_source_valid) begin
if (sdram_bankmachine6_has_openrow) begin
if (sdram_bankmachine6_hit) begin
if (sdram_bankmachine6_cas_allowed) begin
if (sdram_bankmachine6_cmd_buffer_source_payload_we) begin
sdram_bankmachine6_cmd_payload_we <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_212 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_213;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_payload_is_cmd <= 1'd0;
case (bankmachine6_state)
1'd1: begin
if (sdram_bankmachine6_done) begin
sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
3'd4: begin
sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_213 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_214;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_req_wdata_ready <= 1'd0;
case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine6_refresh_req) begin
end else begin
if (sdram_bankmachine6_cmd_buffer_source_valid) begin
if (sdram_bankmachine6_has_openrow) begin
if (sdram_bankmachine6_hit) begin
if (sdram_bankmachine6_cas_allowed) begin
if (sdram_bankmachine6_cmd_buffer_source_payload_we) begin
sdram_bankmachine6_req_wdata_ready <= sdram_bankmachine6_cmd_ready;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_214 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_215;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_track_open <= 1'd0;
case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine6_track_open <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_215 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_216;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_payload_is_write <= 1'd0;
case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine6_refresh_req) begin
end else begin
if (sdram_bankmachine6_cmd_buffer_source_valid) begin
if (sdram_bankmachine6_has_openrow) begin
if (sdram_bankmachine6_hit) begin
if (sdram_bankmachine6_cas_allowed) begin
if (sdram_bankmachine6_cmd_buffer_source_payload_we) begin
sdram_bankmachine6_cmd_payload_is_write <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_216 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_217;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_track_close <= 1'd0;
case (bankmachine6_state)
1'd1: begin
sdram_bankmachine6_track_close <= 1'd1;
end
2'd2: begin
sdram_bankmachine6_track_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
sdram_bankmachine6_track_close <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_217 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_218;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_payload_is_read <= 1'd0;
case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine6_refresh_req) begin
end else begin
if (sdram_bankmachine6_cmd_buffer_source_valid) begin
if (sdram_bankmachine6_has_openrow) begin
if (sdram_bankmachine6_hit) begin
if (sdram_bankmachine6_cas_allowed) begin
if (sdram_bankmachine6_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine6_cmd_payload_is_read <= 1'd1;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_218 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_219;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_refresh_gnt <= 1'd0;
case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
if (sdram_bankmachine6_done) begin
sdram_bankmachine6_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_219 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_220;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_sel_row_addr <= 1'd0;
case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine6_sel_row_addr <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_220 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine7_cmd_buffer_lookahead_sink_valid = sdram_bankmachine7_req_valid;
assign sdram_bankmachine7_req_ready = sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine7_req_we;
assign sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine7_req_addr;
assign sdram_bankmachine7_cmd_buffer_sink_valid = sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_ready = sdram_bankmachine7_cmd_buffer_sink_ready;
assign sdram_bankmachine7_cmd_buffer_sink_first = sdram_bankmachine7_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine7_cmd_buffer_sink_last = sdram_bankmachine7_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine7_cmd_buffer_sink_payload_we = sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine7_cmd_buffer_sink_payload_addr = sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine7_cmd_buffer_source_ready = (sdram_bankmachine7_req_wdata_ready | sdram_bankmachine7_req_rdata_valid);
assign sdram_bankmachine7_req_lock = (sdram_bankmachine7_cmd_buffer_lookahead_source_valid | sdram_bankmachine7_cmd_buffer_source_valid);
assign sdram_bankmachine7_hit = (sdram_bankmachine7_openrow == sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine7_cmd_payload_ba = 3'd7;
// synthesis translate_off
reg dummy_d_221;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_payload_a <= 14'd0;
if (sdram_bankmachine7_sel_row_addr) begin
sdram_bankmachine7_cmd_payload_a <= sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine7_cmd_payload_a <= ((sdram_bankmachine7_auto_precharge <<< 4'd10) | {sdram_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_221 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine7_wait = (~((sdram_bankmachine7_cmd_valid & sdram_bankmachine7_cmd_ready) & sdram_bankmachine7_cmd_payload_is_write));
// synthesis translate_off
reg dummy_d_222;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_auto_precharge <= 1'd0;
if ((sdram_bankmachine7_cmd_buffer_lookahead_source_valid & sdram_bankmachine7_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine7_auto_precharge <= (sdram_bankmachine7_track_close == 1'd0);
end
end
// synthesis translate_off
dummy_d_222 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
assign {sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
assign {sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
assign {sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
assign sdram_bankmachine7_cmd_buffer_lookahead_sink_ready = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine7_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine7_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_valid = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_first = sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_last = sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_223;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine7_cmd_buffer_lookahead_replace) begin
sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine7_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine7_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_223 = dummy_s;
// synthesis translate_on
end
assign sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
assign sdram_bankmachine7_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | sdram_bankmachine7_cmd_buffer_lookahead_replace));
assign sdram_bankmachine7_cmd_buffer_lookahead_do_read = (sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re);
assign sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine7_cmd_buffer_lookahead_consume;
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (sdram_bankmachine7_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (sdram_bankmachine7_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine7_cmd_buffer_pipe_ce = (sdram_bankmachine7_cmd_buffer_source_ready | (~sdram_bankmachine7_cmd_buffer_valid_n));
assign sdram_bankmachine7_cmd_buffer_sink_ready = sdram_bankmachine7_cmd_buffer_pipe_ce;
assign sdram_bankmachine7_cmd_buffer_source_valid = sdram_bankmachine7_cmd_buffer_valid_n;
assign sdram_bankmachine7_cmd_buffer_busy = (1'd0 | sdram_bankmachine7_cmd_buffer_valid_n);
assign sdram_bankmachine7_cmd_buffer_source_first = sdram_bankmachine7_cmd_buffer_first_n;
assign sdram_bankmachine7_cmd_buffer_source_last = sdram_bankmachine7_cmd_buffer_last_n;
assign sdram_bankmachine7_done = (sdram_bankmachine7_count == 1'd0);
// synthesis translate_off
reg dummy_d_224;
// synthesis translate_on
always @(*) begin
bankmachine7_next_state <= 4'd0;
bankmachine7_next_state <= bankmachine7_state;
case (bankmachine7_state)
1'd1: begin
if (sdram_bankmachine7_done) begin
if (sdram_bankmachine7_cmd_ready) begin
bankmachine7_next_state <= 3'd5;
end
end
end
2'd2: begin
if (sdram_bankmachine7_done) begin
bankmachine7_next_state <= 3'd5;
end
end
2'd3: begin
if ((sdram_bankmachine7_cmd_ready & sdram_bankmachine7_ras_allowed)) begin
bankmachine7_next_state <= 3'd7;
end
end
3'd4: begin
if ((~sdram_bankmachine7_refresh_req)) begin
bankmachine7_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine7_next_state <= 3'd6;
end
3'd6: begin
bankmachine7_next_state <= 2'd3;
end
3'd7: begin
bankmachine7_next_state <= 4'd8;
end
4'd8: begin
bankmachine7_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine7_refresh_req) begin
bankmachine7_next_state <= 3'd4;
end else begin
if (sdram_bankmachine7_cmd_buffer_source_valid) begin
if (sdram_bankmachine7_has_openrow) begin
if (sdram_bankmachine7_hit) begin
if (sdram_bankmachine7_cas_allowed) begin
if ((sdram_bankmachine7_cmd_ready & sdram_bankmachine7_auto_precharge)) begin
bankmachine7_next_state <= 2'd2;
end
end
end else begin
bankmachine7_next_state <= 1'd1;
end
end else begin
bankmachine7_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
dummy_d_224 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_225;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_refresh_gnt <= 1'd0;
case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
if (sdram_bankmachine7_done) begin
sdram_bankmachine7_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_225 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_226;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_sel_row_addr <= 1'd0;
case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine7_sel_row_addr <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_226 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_227;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_req_rdata_valid <= 1'd0;
case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine7_refresh_req) begin
end else begin
if (sdram_bankmachine7_cmd_buffer_source_valid) begin
if (sdram_bankmachine7_has_openrow) begin
if (sdram_bankmachine7_hit) begin
if (sdram_bankmachine7_cas_allowed) begin
if (sdram_bankmachine7_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine7_req_rdata_valid <= sdram_bankmachine7_cmd_ready;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_227 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_228;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_valid <= 1'd0;
case (bankmachine7_state)
1'd1: begin
if (sdram_bankmachine7_done) begin
sdram_bankmachine7_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine7_cmd_valid <= sdram_bankmachine7_ras_allowed;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine7_refresh_req) begin
end else begin
if (sdram_bankmachine7_cmd_buffer_source_valid) begin
if (sdram_bankmachine7_has_openrow) begin
if (sdram_bankmachine7_hit) begin
if (sdram_bankmachine7_cas_allowed) begin
sdram_bankmachine7_cmd_valid <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_228 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_229;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_payload_is_read <= 1'd0;
case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine7_refresh_req) begin
end else begin
if (sdram_bankmachine7_cmd_buffer_source_valid) begin
if (sdram_bankmachine7_has_openrow) begin
if (sdram_bankmachine7_hit) begin
if (sdram_bankmachine7_cas_allowed) begin
if (sdram_bankmachine7_cmd_buffer_source_payload_we) begin
end else begin
sdram_bankmachine7_cmd_payload_is_read <= 1'd1;
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_229 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_230;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_payload_cas <= 1'd0;
case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine7_refresh_req) begin
end else begin
if (sdram_bankmachine7_cmd_buffer_source_valid) begin
if (sdram_bankmachine7_has_openrow) begin
if (sdram_bankmachine7_hit) begin
if (sdram_bankmachine7_cas_allowed) begin
sdram_bankmachine7_cmd_payload_cas <= 1'd1;
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_230 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_231;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_payload_ras <= 1'd0;
case (bankmachine7_state)
1'd1: begin
if (sdram_bankmachine7_done) begin
sdram_bankmachine7_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine7_cmd_payload_ras <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_231 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_232;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_payload_we <= 1'd0;
case (bankmachine7_state)
1'd1: begin
if (sdram_bankmachine7_done) begin
sdram_bankmachine7_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine7_refresh_req) begin
end else begin
if (sdram_bankmachine7_cmd_buffer_source_valid) begin
if (sdram_bankmachine7_has_openrow) begin
if (sdram_bankmachine7_hit) begin
if (sdram_bankmachine7_cas_allowed) begin
if (sdram_bankmachine7_cmd_buffer_source_payload_we) begin
sdram_bankmachine7_cmd_payload_we <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_232 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_233;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_payload_is_cmd <= 1'd0;
case (bankmachine7_state)
1'd1: begin
if (sdram_bankmachine7_done) begin
sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
3'd4: begin
sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_233 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_234;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_req_wdata_ready <= 1'd0;
case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine7_refresh_req) begin
end else begin
if (sdram_bankmachine7_cmd_buffer_source_valid) begin
if (sdram_bankmachine7_has_openrow) begin
if (sdram_bankmachine7_hit) begin
if (sdram_bankmachine7_cas_allowed) begin
if (sdram_bankmachine7_cmd_buffer_source_payload_we) begin
sdram_bankmachine7_req_wdata_ready <= sdram_bankmachine7_cmd_ready;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_234 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_235;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_track_open <= 1'd0;
case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
sdram_bankmachine7_track_open <= 1'd1;
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_235 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_236;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_payload_is_write <= 1'd0;
case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
if (sdram_bankmachine7_refresh_req) begin
end else begin
if (sdram_bankmachine7_cmd_buffer_source_valid) begin
if (sdram_bankmachine7_has_openrow) begin
if (sdram_bankmachine7_hit) begin
if (sdram_bankmachine7_cas_allowed) begin
if (sdram_bankmachine7_cmd_buffer_source_payload_we) begin
sdram_bankmachine7_cmd_payload_is_write <= 1'd1;
end else begin
end
end
end else begin
end
end else begin
end
end
end
end
endcase
// synthesis translate_off
dummy_d_236 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_237;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_track_close <= 1'd0;
case (bankmachine7_state)
1'd1: begin
sdram_bankmachine7_track_close <= 1'd1;
end
2'd2: begin
sdram_bankmachine7_track_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
sdram_bankmachine7_track_close <= 1'd1;
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_237 = dummy_s;
// synthesis translate_on
end
assign sdram_trrdcon_valid = ((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & ((sdram_choose_cmd_cmd_payload_ras & (~sdram_choose_cmd_cmd_payload_cas)) & (~sdram_choose_cmd_cmd_payload_we)));
assign sdram_tfawcon_valid = ((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & ((sdram_choose_cmd_cmd_payload_ras & (~sdram_choose_cmd_cmd_payload_cas)) & (~sdram_choose_cmd_cmd_payload_we)));
assign sdram_ras_allowed = (sdram_trrdcon_ready & sdram_tfawcon_ready);
assign sdram_bankmachine0_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine1_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine2_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine3_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine4_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine5_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine6_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine7_ras_allowed = sdram_ras_allowed;
assign sdram_tccdcon_valid = ((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_cmd_payload_is_write | sdram_choose_req_cmd_payload_is_read));
assign sdram_cas_allowed = sdram_tccdcon_ready;
assign sdram_bankmachine0_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine1_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine2_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine3_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine4_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine5_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine6_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine7_cas_allowed = sdram_cas_allowed;
assign sdram_twtrcon_valid = ((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & sdram_choose_req_cmd_payload_is_write);
assign sdram_read_available = ((((((((sdram_bankmachine0_cmd_valid & sdram_bankmachine0_cmd_payload_is_read) | (sdram_bankmachine1_cmd_valid & sdram_bankmachine1_cmd_payload_is_read)) | (sdram_bankmachine2_cmd_valid & sdram_bankmachine2_cmd_payload_is_read)) | (sdram_bankmachine3_cmd_valid & sdram_bankmachine3_cmd_payload_is_read)) | (sdram_bankmachine4_cmd_valid & sdram_bankmachine4_cmd_payload_is_read)) | (sdram_bankmachine5_cmd_valid & sdram_bankmachine5_cmd_payload_is_read)) | (sdram_bankmachine6_cmd_valid & sdram_bankmachine6_cmd_payload_is_read)) | (sdram_bankmachine7_cmd_valid & sdram_bankmachine7_cmd_payload_is_read));
assign sdram_write_available = ((((((((sdram_bankmachine0_cmd_valid & sdram_bankmachine0_cmd_payload_is_write) | (sdram_bankmachine1_cmd_valid & sdram_bankmachine1_cmd_payload_is_write)) | (sdram_bankmachine2_cmd_valid & sdram_bankmachine2_cmd_payload_is_write)) | (sdram_bankmachine3_cmd_valid & sdram_bankmachine3_cmd_payload_is_write)) | (sdram_bankmachine4_cmd_valid & sdram_bankmachine4_cmd_payload_is_write)) | (sdram_bankmachine5_cmd_valid & sdram_bankmachine5_cmd_payload_is_write)) | (sdram_bankmachine6_cmd_valid & sdram_bankmachine6_cmd_payload_is_write)) | (sdram_bankmachine7_cmd_valid & sdram_bankmachine7_cmd_payload_is_write));
assign sdram_max_time0 = (sdram_time0 == 1'd0);
assign sdram_max_time1 = (sdram_time1 == 1'd0);
assign sdram_bankmachine0_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine1_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine2_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine3_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine4_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine5_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine6_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine7_refresh_req = sdram_cmd_valid;
assign sdram_go_to_refresh = (((((((sdram_bankmachine0_refresh_gnt & sdram_bankmachine1_refresh_gnt) & sdram_bankmachine2_refresh_gnt) & sdram_bankmachine3_refresh_gnt) & sdram_bankmachine4_refresh_gnt) & sdram_bankmachine5_refresh_gnt) & sdram_bankmachine6_refresh_gnt) & sdram_bankmachine7_refresh_gnt);
assign sdram_interface_rdata = {sdram_dfi_p3_rddata, sdram_dfi_p2_rddata, sdram_dfi_p1_rddata, sdram_dfi_p0_rddata};
assign {sdram_dfi_p3_wrdata, sdram_dfi_p2_wrdata, sdram_dfi_p1_wrdata, sdram_dfi_p0_wrdata} = sdram_interface_wdata;
assign {sdram_dfi_p3_wrdata, sdram_dfi_p2_wrdata, sdram_dfi_p1_wrdata, sdram_dfi_p0_wrdata} = sdram_interface_wdata;
assign {sdram_dfi_p3_wrdata, sdram_dfi_p2_wrdata, sdram_dfi_p1_wrdata, sdram_dfi_p0_wrdata} = sdram_interface_wdata;
assign {sdram_dfi_p3_wrdata, sdram_dfi_p2_wrdata, sdram_dfi_p1_wrdata, sdram_dfi_p0_wrdata} = sdram_interface_wdata;
assign {sdram_dfi_p3_wrdata_mask, sdram_dfi_p2_wrdata_mask, sdram_dfi_p1_wrdata_mask, sdram_dfi_p0_wrdata_mask} = (~sdram_interface_wdata_we);
assign {sdram_dfi_p3_wrdata_mask, sdram_dfi_p2_wrdata_mask, sdram_dfi_p1_wrdata_mask, sdram_dfi_p0_wrdata_mask} = (~sdram_interface_wdata_we);
assign {sdram_dfi_p3_wrdata_mask, sdram_dfi_p2_wrdata_mask, sdram_dfi_p1_wrdata_mask, sdram_dfi_p0_wrdata_mask} = (~sdram_interface_wdata_we);
assign {sdram_dfi_p3_wrdata_mask, sdram_dfi_p2_wrdata_mask, sdram_dfi_p1_wrdata_mask, sdram_dfi_p0_wrdata_mask} = (~sdram_interface_wdata_we);
// synthesis translate_off
reg dummy_d_238;
// synthesis translate_on
always @(*) begin
sdram_choose_cmd_valids <= 8'd0;
sdram_choose_cmd_valids[0] <= (sdram_bankmachine0_cmd_valid & (((sdram_bankmachine0_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine0_cmd_payload_ras & (~sdram_bankmachine0_cmd_payload_cas)) & (~sdram_bankmachine0_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine0_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine0_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[1] <= (sdram_bankmachine1_cmd_valid & (((sdram_bankmachine1_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine1_cmd_payload_ras & (~sdram_bankmachine1_cmd_payload_cas)) & (~sdram_bankmachine1_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine1_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine1_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[2] <= (sdram_bankmachine2_cmd_valid & (((sdram_bankmachine2_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine2_cmd_payload_ras & (~sdram_bankmachine2_cmd_payload_cas)) & (~sdram_bankmachine2_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine2_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine2_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[3] <= (sdram_bankmachine3_cmd_valid & (((sdram_bankmachine3_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine3_cmd_payload_ras & (~sdram_bankmachine3_cmd_payload_cas)) & (~sdram_bankmachine3_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine3_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine3_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[4] <= (sdram_bankmachine4_cmd_valid & (((sdram_bankmachine4_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine4_cmd_payload_ras & (~sdram_bankmachine4_cmd_payload_cas)) & (~sdram_bankmachine4_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine4_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine4_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[5] <= (sdram_bankmachine5_cmd_valid & (((sdram_bankmachine5_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine5_cmd_payload_ras & (~sdram_bankmachine5_cmd_payload_cas)) & (~sdram_bankmachine5_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine5_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine5_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[6] <= (sdram_bankmachine6_cmd_valid & (((sdram_bankmachine6_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine6_cmd_payload_ras & (~sdram_bankmachine6_cmd_payload_cas)) & (~sdram_bankmachine6_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine6_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine6_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[7] <= (sdram_bankmachine7_cmd_valid & (((sdram_bankmachine7_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine7_cmd_payload_ras & (~sdram_bankmachine7_cmd_payload_cas)) & (~sdram_bankmachine7_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine7_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine7_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
// synthesis translate_off
dummy_d_238 = dummy_s;
// synthesis translate_on
end
assign sdram_choose_cmd_request = sdram_choose_cmd_valids;
assign sdram_choose_cmd_cmd_valid = rhs_array_muxed0;
assign sdram_choose_cmd_cmd_payload_a = rhs_array_muxed1;
assign sdram_choose_cmd_cmd_payload_ba = rhs_array_muxed2;
assign sdram_choose_cmd_cmd_payload_is_read = rhs_array_muxed3;
assign sdram_choose_cmd_cmd_payload_is_write = rhs_array_muxed4;
assign sdram_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5;
// synthesis translate_off
reg dummy_d_239;
// synthesis translate_on
always @(*) begin
sdram_choose_cmd_cmd_payload_cas <= 1'd0;
if (sdram_choose_cmd_cmd_valid) begin
sdram_choose_cmd_cmd_payload_cas <= t_array_muxed0;
end
// synthesis translate_off
dummy_d_239 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_240;
// synthesis translate_on
always @(*) begin
sdram_choose_cmd_cmd_payload_ras <= 1'd0;
if (sdram_choose_cmd_cmd_valid) begin
sdram_choose_cmd_cmd_payload_ras <= t_array_muxed1;
end
// synthesis translate_off
dummy_d_240 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_241;
// synthesis translate_on
always @(*) begin
sdram_choose_cmd_cmd_payload_we <= 1'd0;
if (sdram_choose_cmd_cmd_valid) begin
sdram_choose_cmd_cmd_payload_we <= t_array_muxed2;
end
// synthesis translate_off
dummy_d_241 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_242;
// synthesis translate_on
always @(*) begin
sdram_bankmachine0_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 1'd0))) begin
sdram_bankmachine0_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 1'd0))) begin
sdram_bankmachine0_cmd_ready <= 1'd1;
end
// synthesis translate_off
dummy_d_242 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_243;
// synthesis translate_on
always @(*) begin
sdram_bankmachine1_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 1'd1))) begin
sdram_bankmachine1_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 1'd1))) begin
sdram_bankmachine1_cmd_ready <= 1'd1;
end
// synthesis translate_off
dummy_d_243 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_244;
// synthesis translate_on
always @(*) begin
sdram_bankmachine2_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 2'd2))) begin
sdram_bankmachine2_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 2'd2))) begin
sdram_bankmachine2_cmd_ready <= 1'd1;
end
// synthesis translate_off
dummy_d_244 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_245;
// synthesis translate_on
always @(*) begin
sdram_bankmachine3_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 2'd3))) begin
sdram_bankmachine3_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 2'd3))) begin
sdram_bankmachine3_cmd_ready <= 1'd1;
end
// synthesis translate_off
dummy_d_245 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_246;
// synthesis translate_on
always @(*) begin
sdram_bankmachine4_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 3'd4))) begin
sdram_bankmachine4_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 3'd4))) begin
sdram_bankmachine4_cmd_ready <= 1'd1;
end
// synthesis translate_off
dummy_d_246 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_247;
// synthesis translate_on
always @(*) begin
sdram_bankmachine5_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 3'd5))) begin
sdram_bankmachine5_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 3'd5))) begin
sdram_bankmachine5_cmd_ready <= 1'd1;
end
// synthesis translate_off
dummy_d_247 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_248;
// synthesis translate_on
always @(*) begin
sdram_bankmachine6_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 3'd6))) begin
sdram_bankmachine6_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 3'd6))) begin
sdram_bankmachine6_cmd_ready <= 1'd1;
end
// synthesis translate_off
dummy_d_248 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_249;
// synthesis translate_on
always @(*) begin
sdram_bankmachine7_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 3'd7))) begin
sdram_bankmachine7_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 3'd7))) begin
sdram_bankmachine7_cmd_ready <= 1'd1;
end
// synthesis translate_off
dummy_d_249 = dummy_s;
// synthesis translate_on
end
assign sdram_choose_cmd_ce = sdram_choose_cmd_cmd_ready;
// synthesis translate_off
reg dummy_d_250;
// synthesis translate_on
always @(*) begin
sdram_choose_req_valids <= 8'd0;
sdram_choose_req_valids[0] <= (sdram_bankmachine0_cmd_valid & (((sdram_bankmachine0_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine0_cmd_payload_ras & (~sdram_bankmachine0_cmd_payload_cas)) & (~sdram_bankmachine0_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine0_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine0_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[1] <= (sdram_bankmachine1_cmd_valid & (((sdram_bankmachine1_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine1_cmd_payload_ras & (~sdram_bankmachine1_cmd_payload_cas)) & (~sdram_bankmachine1_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine1_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine1_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[2] <= (sdram_bankmachine2_cmd_valid & (((sdram_bankmachine2_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine2_cmd_payload_ras & (~sdram_bankmachine2_cmd_payload_cas)) & (~sdram_bankmachine2_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine2_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine2_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[3] <= (sdram_bankmachine3_cmd_valid & (((sdram_bankmachine3_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine3_cmd_payload_ras & (~sdram_bankmachine3_cmd_payload_cas)) & (~sdram_bankmachine3_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine3_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine3_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[4] <= (sdram_bankmachine4_cmd_valid & (((sdram_bankmachine4_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine4_cmd_payload_ras & (~sdram_bankmachine4_cmd_payload_cas)) & (~sdram_bankmachine4_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine4_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine4_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[5] <= (sdram_bankmachine5_cmd_valid & (((sdram_bankmachine5_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine5_cmd_payload_ras & (~sdram_bankmachine5_cmd_payload_cas)) & (~sdram_bankmachine5_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine5_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine5_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[6] <= (sdram_bankmachine6_cmd_valid & (((sdram_bankmachine6_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine6_cmd_payload_ras & (~sdram_bankmachine6_cmd_payload_cas)) & (~sdram_bankmachine6_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine6_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine6_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[7] <= (sdram_bankmachine7_cmd_valid & (((sdram_bankmachine7_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine7_cmd_payload_ras & (~sdram_bankmachine7_cmd_payload_cas)) & (~sdram_bankmachine7_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine7_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine7_cmd_payload_is_write == sdram_choose_req_want_writes))));
// synthesis translate_off
dummy_d_250 = dummy_s;
// synthesis translate_on
end
assign sdram_choose_req_request = sdram_choose_req_valids;
assign sdram_choose_req_cmd_valid = rhs_array_muxed6;
assign sdram_choose_req_cmd_payload_a = rhs_array_muxed7;
assign sdram_choose_req_cmd_payload_ba = rhs_array_muxed8;
assign sdram_choose_req_cmd_payload_is_read = rhs_array_muxed9;
assign sdram_choose_req_cmd_payload_is_write = rhs_array_muxed10;
assign sdram_choose_req_cmd_payload_is_cmd = rhs_array_muxed11;
// synthesis translate_off
reg dummy_d_251;
// synthesis translate_on
always @(*) begin
sdram_choose_req_cmd_payload_cas <= 1'd0;
if (sdram_choose_req_cmd_valid) begin
sdram_choose_req_cmd_payload_cas <= t_array_muxed3;
end
// synthesis translate_off
dummy_d_251 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_252;
// synthesis translate_on
always @(*) begin
sdram_choose_req_cmd_payload_ras <= 1'd0;
if (sdram_choose_req_cmd_valid) begin
sdram_choose_req_cmd_payload_ras <= t_array_muxed4;
end
// synthesis translate_off
dummy_d_252 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_253;
// synthesis translate_on
always @(*) begin
sdram_choose_req_cmd_payload_we <= 1'd0;
if (sdram_choose_req_cmd_valid) begin
sdram_choose_req_cmd_payload_we <= t_array_muxed5;
end
// synthesis translate_off
dummy_d_253 = dummy_s;
// synthesis translate_on
end
assign sdram_choose_req_ce = sdram_choose_req_cmd_ready;
assign sdram_dfi_p0_reset_n = 1'd1;
assign sdram_dfi_p0_cke = 1'd0;
assign sdram_dfi_p0_odt = 1'd0;
assign sdram_dfi_p1_reset_n = 1'd1;
assign sdram_dfi_p1_cke = 1'd0;
assign sdram_dfi_p1_odt = 1'd0;
assign sdram_dfi_p2_reset_n = 1'd1;
assign sdram_dfi_p2_cke = 1'd0;
assign sdram_dfi_p2_odt = 1'd0;
assign sdram_dfi_p3_reset_n = 1'd1;
assign sdram_dfi_p3_cke = 1'd0;
assign sdram_dfi_p3_odt = 1'd0;
assign sdram_tfawcon_count = (((((((sdram_tfawcon_window[0] + sdram_tfawcon_window[1]) + sdram_tfawcon_window[2]) + sdram_tfawcon_window[3]) + sdram_tfawcon_window[4]) + sdram_tfawcon_window[5]) + sdram_tfawcon_window[6]) + sdram_tfawcon_window[7]);
// synthesis translate_off
reg dummy_d_254;
// synthesis translate_on
always @(*) begin
multiplexer_next_state <= 4'd0;
multiplexer_next_state <= multiplexer_state;
case (multiplexer_state)
1'd1: begin
if (sdram_read_available) begin
if (((~sdram_write_available) | sdram_max_time1)) begin
multiplexer_next_state <= 2'd3;
end
end
if (sdram_go_to_refresh) begin
multiplexer_next_state <= 2'd2;
end
end
2'd2: begin
if (sdram_cmd_last) begin
multiplexer_next_state <= 1'd0;
end
end
2'd3: begin
if (sdram_twtrcon_ready) begin
multiplexer_next_state <= 1'd0;
end
end
3'd4: begin
multiplexer_next_state <= 3'd5;
end
3'd5: begin
multiplexer_next_state <= 3'd6;
end
3'd6: begin
multiplexer_next_state <= 3'd7;
end
3'd7: begin
multiplexer_next_state <= 4'd8;
end
4'd8: begin
multiplexer_next_state <= 1'd1;
end
default: begin
if (sdram_write_available) begin
if (((~sdram_read_available) | sdram_max_time0)) begin
multiplexer_next_state <= 3'd4;
end
end
if (sdram_go_to_refresh) begin
multiplexer_next_state <= 2'd2;
end
end
endcase
// synthesis translate_off
dummy_d_254 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_255;
// synthesis translate_on
always @(*) begin
sdram_cmd_ready <= 1'd0;
case (multiplexer_state)
1'd1: begin
end
2'd2: begin
sdram_cmd_ready <= 1'd1;
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_255 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_256;
// synthesis translate_on
always @(*) begin
sdram_choose_cmd_want_activates <= 1'd0;
case (multiplexer_state)
1'd1: begin
sdram_choose_cmd_want_activates <= sdram_ras_allowed;
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
sdram_choose_cmd_want_activates <= sdram_ras_allowed;
end
endcase
// synthesis translate_off
dummy_d_256 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_257;
// synthesis translate_on
always @(*) begin
sdram_choose_req_want_reads <= 1'd0;
case (multiplexer_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
sdram_choose_req_want_reads <= 1'd1;
end
endcase
// synthesis translate_off
dummy_d_257 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_258;
// synthesis translate_on
always @(*) begin
sdram_choose_cmd_cmd_ready <= 1'd0;
case (multiplexer_state)
1'd1: begin
sdram_choose_cmd_cmd_ready <= ((~((sdram_choose_cmd_cmd_payload_ras & (~sdram_choose_cmd_cmd_payload_cas)) & (~sdram_choose_cmd_cmd_payload_we))) | sdram_ras_allowed);
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
sdram_choose_cmd_cmd_ready <= ((~((sdram_choose_cmd_cmd_payload_ras & (~sdram_choose_cmd_cmd_payload_cas)) & (~sdram_choose_cmd_cmd_payload_we))) | sdram_ras_allowed);
end
endcase
// synthesis translate_off
dummy_d_258 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_259;
// synthesis translate_on
always @(*) begin
sdram_choose_req_want_writes <= 1'd0;
case (multiplexer_state)
1'd1: begin
sdram_choose_req_want_writes <= 1'd1;
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_259 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_260;
// synthesis translate_on
always @(*) begin
sdram_en0 <= 1'd0;
case (multiplexer_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
sdram_en0 <= 1'd1;
end
endcase
// synthesis translate_off
dummy_d_260 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_261;
// synthesis translate_on
always @(*) begin
sdram_choose_req_cmd_ready <= 1'd0;
case (multiplexer_state)
1'd1: begin
sdram_choose_req_cmd_ready <= 1'd1;
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
sdram_choose_req_cmd_ready <= 1'd1;
end
endcase
// synthesis translate_off
dummy_d_261 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_262;
// synthesis translate_on
always @(*) begin
sdram_en1 <= 1'd0;
case (multiplexer_state)
1'd1: begin
sdram_en1 <= 1'd1;
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_262 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_263;
// synthesis translate_on
always @(*) begin
sdram_sel0 <= 2'd0;
case (multiplexer_state)
1'd1: begin
sdram_sel0 <= 1'd0;
end
2'd2: begin
sdram_sel0 <= 2'd3;
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
sdram_sel0 <= 1'd0;
end
endcase
// synthesis translate_off
dummy_d_263 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_264;
// synthesis translate_on
always @(*) begin
sdram_sel1 <= 2'd0;
case (multiplexer_state)
1'd1: begin
sdram_sel1 <= 1'd0;
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
sdram_sel1 <= 1'd1;
end
endcase
// synthesis translate_off
dummy_d_264 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_265;
// synthesis translate_on
always @(*) begin
sdram_sel2 <= 2'd0;
case (multiplexer_state)
1'd1: begin
sdram_sel2 <= 1'd1;
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
sdram_sel2 <= 2'd2;
end
endcase
// synthesis translate_off
dummy_d_265 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_266;
// synthesis translate_on
always @(*) begin
sdram_sel3 <= 2'd0;
case (multiplexer_state)
1'd1: begin
sdram_sel3 <= 2'd2;
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
3'd5: begin
end
3'd6: begin
end
3'd7: begin
end
4'd8: begin
end
default: begin
sdram_sel3 <= 1'd0;
end
endcase
// synthesis translate_off
dummy_d_266 = dummy_s;
// synthesis translate_on
end
assign cba = port_cmd_payload_addr[9:7];
assign rca = {port_cmd_payload_addr[23:10], port_cmd_payload_addr[6:0]};
assign roundrobin0_request = {(((cba == 1'd0) & (~(((((((1'd0 | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin0_ce = ((~sdram_interface_bank0_valid) & (~sdram_interface_bank0_lock));
assign sdram_interface_bank0_addr = rhs_array_muxed12;
assign sdram_interface_bank0_we = rhs_array_muxed13;
assign sdram_interface_bank0_valid = rhs_array_muxed14;
assign roundrobin1_request = {(((cba == 1'd1) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin1_ce = ((~sdram_interface_bank1_valid) & (~sdram_interface_bank1_lock));
assign sdram_interface_bank1_addr = rhs_array_muxed15;
assign sdram_interface_bank1_we = rhs_array_muxed16;
assign sdram_interface_bank1_valid = rhs_array_muxed17;
assign roundrobin2_request = {(((cba == 2'd2) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin2_ce = ((~sdram_interface_bank2_valid) & (~sdram_interface_bank2_lock));
assign sdram_interface_bank2_addr = rhs_array_muxed18;
assign sdram_interface_bank2_we = rhs_array_muxed19;
assign sdram_interface_bank2_valid = rhs_array_muxed20;
assign roundrobin3_request = {(((cba == 2'd3) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin3_ce = ((~sdram_interface_bank3_valid) & (~sdram_interface_bank3_lock));
assign sdram_interface_bank3_addr = rhs_array_muxed21;
assign sdram_interface_bank3_we = rhs_array_muxed22;
assign sdram_interface_bank3_valid = rhs_array_muxed23;
assign roundrobin4_request = {(((cba == 3'd4) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin4_ce = ((~sdram_interface_bank4_valid) & (~sdram_interface_bank4_lock));
assign sdram_interface_bank4_addr = rhs_array_muxed24;
assign sdram_interface_bank4_we = rhs_array_muxed25;
assign sdram_interface_bank4_valid = rhs_array_muxed26;
assign roundrobin5_request = {(((cba == 3'd5) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin5_ce = ((~sdram_interface_bank5_valid) & (~sdram_interface_bank5_lock));
assign sdram_interface_bank5_addr = rhs_array_muxed27;
assign sdram_interface_bank5_we = rhs_array_muxed28;
assign sdram_interface_bank5_valid = rhs_array_muxed29;
assign roundrobin6_request = {(((cba == 3'd6) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin6_ce = ((~sdram_interface_bank6_valid) & (~sdram_interface_bank6_lock));
assign sdram_interface_bank6_addr = rhs_array_muxed30;
assign sdram_interface_bank6_we = rhs_array_muxed31;
assign sdram_interface_bank6_valid = rhs_array_muxed32;
assign roundrobin7_request = {(((cba == 3'd7) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin7_ce = ((~sdram_interface_bank7_valid) & (~sdram_interface_bank7_lock));
assign sdram_interface_bank7_addr = rhs_array_muxed33;
assign sdram_interface_bank7_we = rhs_array_muxed34;
assign sdram_interface_bank7_valid = rhs_array_muxed35;
assign port_cmd_ready = ((((((((1'd0 | (((roundrobin0_grant == 1'd0) & ((cba == 1'd0) & (~(((((((1'd0 | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank0_ready)) | (((roundrobin1_grant == 1'd0) & ((cba == 1'd1) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank1_ready)) | (((roundrobin2_grant == 1'd0) & ((cba == 2'd2) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank2_ready)) | (((roundrobin3_grant == 1'd0) & ((cba == 2'd3) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank3_ready)) | (((roundrobin4_grant == 1'd0) & ((cba == 3'd4) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank4_ready)) | (((roundrobin5_grant == 1'd0) & ((cba == 3'd5) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank5_ready)) | (((roundrobin6_grant == 1'd0) & ((cba == 3'd6) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank6_ready)) | (((roundrobin7_grant == 1'd0) & ((cba == 3'd7) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0)))))) & sdram_interface_bank7_ready));
assign port_wdata_ready = new_master_wdata_ready2;
assign port_rdata_valid = new_master_rdata_valid6;
// synthesis translate_off
reg dummy_d_267;
// synthesis translate_on
always @(*) begin
sdram_interface_wdata <= 128'd0;
case ({new_master_wdata_ready2})
1'd1: begin
sdram_interface_wdata <= port_wdata_payload_data;
end
default: begin
sdram_interface_wdata <= 1'd0;
end
endcase
// synthesis translate_off
dummy_d_267 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_268;
// synthesis translate_on
always @(*) begin
sdram_interface_wdata_we <= 16'd0;
case ({new_master_wdata_ready2})
1'd1: begin
sdram_interface_wdata_we <= port_wdata_payload_we;
end
default: begin
sdram_interface_wdata_we <= 1'd0;
end
endcase
// synthesis translate_off
dummy_d_268 = dummy_s;
// synthesis translate_on
end
assign port_rdata_payload_data = sdram_interface_rdata;
assign roundrobin0_grant = 1'd0;
assign roundrobin1_grant = 1'd0;
assign roundrobin2_grant = 1'd0;
assign roundrobin3_grant = 1'd0;
assign roundrobin4_grant = 1'd0;
assign roundrobin5_grant = 1'd0;
assign roundrobin6_grant = 1'd0;
assign roundrobin7_grant = 1'd0;
assign data_port_adr = interface0_wb_sdram_adr[10:2];
// synthesis translate_off
reg dummy_d_269;
// synthesis translate_on
always @(*) begin
data_port_dat_w <= 128'd0;
if (write_from_slave) begin
data_port_dat_w <= interface_dat_r;
end else begin
data_port_dat_w <= {4{interface0_wb_sdram_dat_w}};
end
// synthesis translate_off
dummy_d_269 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_270;
// synthesis translate_on
always @(*) begin
data_port_we <= 16'd0;
if (write_from_slave) begin
data_port_we <= {16{1'd1}};
end else begin
if ((((interface0_wb_sdram_cyc & interface0_wb_sdram_stb) & interface0_wb_sdram_we) & interface0_wb_sdram_ack)) begin
data_port_we <= {({4{(interface0_wb_sdram_adr[1:0] == 1'd0)}} & interface0_wb_sdram_sel), ({4{(interface0_wb_sdram_adr[1:0] == 1'd1)}} & interface0_wb_sdram_sel), ({4{(interface0_wb_sdram_adr[1:0] == 2'd2)}} & interface0_wb_sdram_sel), ({4{(interface0_wb_sdram_adr[1:0] == 2'd3)}} & interface0_wb_sdram_sel)};
end
end
// synthesis translate_off
dummy_d_270 = dummy_s;
// synthesis translate_on
end
assign interface_dat_w = data_port_dat_r;
assign interface_sel = 16'd65535;
// synthesis translate_off
reg dummy_d_271;
// synthesis translate_on
always @(*) begin
interface0_wb_sdram_dat_r <= 32'd0;
case (adr_offset_r)
1'd0: begin
interface0_wb_sdram_dat_r <= data_port_dat_r[127:96];
end
1'd1: begin
interface0_wb_sdram_dat_r <= data_port_dat_r[95:64];
end
2'd2: begin
interface0_wb_sdram_dat_r <= data_port_dat_r[63:32];
end
default: begin
interface0_wb_sdram_dat_r <= data_port_dat_r[31:0];
end
endcase
// synthesis translate_off
dummy_d_271 = dummy_s;
// synthesis translate_on
end
assign {tag_do_dirty, tag_do_tag} = tag_port_dat_r;
assign {tag_do_dirty, tag_do_tag} = tag_port_dat_r;
assign tag_port_dat_w = {tag_di_dirty, tag_di_tag};
assign tag_port_adr = interface0_wb_sdram_adr[10:2];
assign tag_di_tag = interface0_wb_sdram_adr[29:11];
assign interface_adr = {tag_do_tag, interface0_wb_sdram_adr[10:2]};
// synthesis translate_off
reg dummy_d_272;
// synthesis translate_on
always @(*) begin
fullmemorywe_next_state <= 3'd0;
fullmemorywe_next_state <= fullmemorywe_state;
case (fullmemorywe_state)
1'd1: begin
if ((tag_do_tag == interface0_wb_sdram_adr[29:11])) begin
fullmemorywe_next_state <= 1'd0;
end else begin
if (tag_do_dirty) begin
fullmemorywe_next_state <= 2'd2;
end else begin
fullmemorywe_next_state <= 2'd3;
end
end
end
2'd2: begin
if (interface_ack) begin
if (1'd1) begin
fullmemorywe_next_state <= 2'd3;
end
end
end
2'd3: begin
fullmemorywe_next_state <= 3'd4;
end
3'd4: begin
if (interface_ack) begin
if (1'd1) begin
fullmemorywe_next_state <= 1'd1;
end else begin
fullmemorywe_next_state <= 3'd4;
end
end
end
default: begin
if ((interface0_wb_sdram_cyc & interface0_wb_sdram_stb)) begin
fullmemorywe_next_state <= 1'd1;
end
end
endcase
// synthesis translate_off
dummy_d_272 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_273;
// synthesis translate_on
always @(*) begin
tag_di_dirty <= 1'd0;
case (fullmemorywe_state)
1'd1: begin
if ((tag_do_tag == interface0_wb_sdram_adr[29:11])) begin
if (interface0_wb_sdram_we) begin
tag_di_dirty <= 1'd1;
end
end else begin
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_273 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_274;
// synthesis translate_on
always @(*) begin
word_clr <= 1'd0;
case (fullmemorywe_state)
1'd1: begin
word_clr <= 1'd1;
end
2'd2: begin
end
2'd3: begin
word_clr <= 1'd1;
end
3'd4: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_274 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_275;
// synthesis translate_on
always @(*) begin
word_inc <= 1'd0;
case (fullmemorywe_state)
1'd1: begin
end
2'd2: begin
if (interface_ack) begin
word_inc <= 1'd1;
end
end
2'd3: begin
end
3'd4: begin
if (interface_ack) begin
word_inc <= 1'd1;
end
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_275 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_276;
// synthesis translate_on
always @(*) begin
interface0_wb_sdram_ack <= 1'd0;
case (fullmemorywe_state)
1'd1: begin
if ((tag_do_tag == interface0_wb_sdram_adr[29:11])) begin
interface0_wb_sdram_ack <= 1'd1;
end else begin
end
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_276 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_277;
// synthesis translate_on
always @(*) begin
write_from_slave <= 1'd0;
case (fullmemorywe_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
end
3'd4: begin
if (interface_ack) begin
write_from_slave <= 1'd1;
end
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_277 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_278;
// synthesis translate_on
always @(*) begin
interface_cyc <= 1'd0;
case (fullmemorywe_state)
1'd1: begin
end
2'd2: begin
interface_cyc <= 1'd1;
end
2'd3: begin
end
3'd4: begin
interface_cyc <= 1'd1;
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_278 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_279;
// synthesis translate_on
always @(*) begin
interface_stb <= 1'd0;
case (fullmemorywe_state)
1'd1: begin
end
2'd2: begin
interface_stb <= 1'd1;
end
2'd3: begin
end
3'd4: begin
interface_stb <= 1'd1;
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_279 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_280;
// synthesis translate_on
always @(*) begin
tag_port_we <= 1'd0;
case (fullmemorywe_state)
1'd1: begin
if ((tag_do_tag == interface0_wb_sdram_adr[29:11])) begin
if (interface0_wb_sdram_we) begin
tag_port_we <= 1'd1;
end
end else begin
end
end
2'd2: begin
end
2'd3: begin
tag_port_we <= 1'd1;
end
3'd4: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_280 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_281;
// synthesis translate_on
always @(*) begin
interface_we <= 1'd0;
case (fullmemorywe_state)
1'd1: begin
end
2'd2: begin
interface_we <= 1'd1;
end
2'd3: begin
end
3'd4: begin
interface_we <= 1'd0;
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_281 = dummy_s;
// synthesis translate_on
end
assign port_cmd_payload_addr = interface_adr;
assign port_wdata_payload_we = interface_sel;
assign port_wdata_payload_data = interface_dat_w;
assign interface_dat_r = port_rdata_payload_data;
// synthesis translate_off
reg dummy_d_282;
// synthesis translate_on
always @(*) begin
litedramwishbone2native_next_state <= 2'd0;
litedramwishbone2native_next_state <= litedramwishbone2native_state;
case (litedramwishbone2native_state)
1'd1: begin
if (port_cmd_ready) begin
if (interface_we) begin
litedramwishbone2native_next_state <= 2'd2;
end else begin
litedramwishbone2native_next_state <= 2'd3;
end
end
end
2'd2: begin
if (port_wdata_ready) begin
litedramwishbone2native_next_state <= 1'd0;
end
end
2'd3: begin
if (port_rdata_valid) begin
litedramwishbone2native_next_state <= 1'd0;
end
end
default: begin
if ((interface_cyc & interface_stb)) begin
litedramwishbone2native_next_state <= 1'd1;
end
end
endcase
// synthesis translate_off
dummy_d_282 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_283;
// synthesis translate_on
always @(*) begin
port_rdata_ready <= 1'd0;
case (litedramwishbone2native_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
port_rdata_ready <= 1'd1;
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_283 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_284;
// synthesis translate_on
always @(*) begin
port_cmd_valid <= 1'd0;
case (litedramwishbone2native_state)
1'd1: begin
port_cmd_valid <= 1'd1;
end
2'd2: begin
end
2'd3: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_284 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_285;
// synthesis translate_on
always @(*) begin
port_cmd_payload_we <= 1'd0;
case (litedramwishbone2native_state)
1'd1: begin
port_cmd_payload_we <= interface_we;
end
2'd2: begin
end
2'd3: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_285 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_286;
// synthesis translate_on
always @(*) begin
port_wdata_valid <= 1'd0;
case (litedramwishbone2native_state)
1'd1: begin
end
2'd2: begin
port_wdata_valid <= 1'd1;
end
2'd3: begin
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_286 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_287;
// synthesis translate_on
always @(*) begin
interface_ack <= 1'd0;
case (litedramwishbone2native_state)
1'd1: begin
end
2'd2: begin
if (port_wdata_ready) begin
interface_ack <= 1'd1;
end
end
2'd3: begin
if (port_rdata_valid) begin
interface_ack <= 1'd1;
end
end
default: begin
end
endcase
// synthesis translate_off
dummy_d_287 = dummy_s;
// synthesis translate_on
end
assign interface0_wb_sdram_adr = rhs_array_muxed36;
assign interface0_wb_sdram_dat_w = rhs_array_muxed37;
assign interface0_wb_sdram_sel = rhs_array_muxed38;
assign interface0_wb_sdram_cyc = rhs_array_muxed39;
assign interface0_wb_sdram_stb = rhs_array_muxed40;
assign interface0_wb_sdram_we = rhs_array_muxed41;
assign interface0_wb_sdram_cti = rhs_array_muxed42;
assign interface0_wb_sdram_bte = rhs_array_muxed43;
assign interface1_wb_sdram_dat_r = interface0_wb_sdram_dat_r;
assign interface1_wb_sdram_ack = (interface0_wb_sdram_ack & (wb_sdram_con_grant == 1'd0));
assign interface1_wb_sdram_err = (interface0_wb_sdram_err & (wb_sdram_con_grant == 1'd0));
assign wb_sdram_con_request = {interface1_wb_sdram_cyc};
assign wb_sdram_con_grant = 1'd0;
assign basesoc_shared_adr = rhs_array_muxed44;
assign basesoc_shared_dat_w = rhs_array_muxed45;
assign basesoc_shared_sel = rhs_array_muxed46;
assign basesoc_shared_cyc = rhs_array_muxed47;
assign basesoc_shared_stb = rhs_array_muxed48;
assign basesoc_shared_we = rhs_array_muxed49;
assign basesoc_shared_cti = rhs_array_muxed50;
assign basesoc_shared_bte = rhs_array_muxed51;
assign basesoc_lm32_ibus_dat_r = basesoc_shared_dat_r;
assign basesoc_lm32_dbus_dat_r = basesoc_shared_dat_r;
assign basesoc_lm32_ibus_ack = (basesoc_shared_ack & (basesoc_grant == 1'd0));
assign basesoc_lm32_dbus_ack = (basesoc_shared_ack & (basesoc_grant == 1'd1));
assign basesoc_lm32_ibus_err = (basesoc_shared_err & (basesoc_grant == 1'd0));
assign basesoc_lm32_dbus_err = (basesoc_shared_err & (basesoc_grant == 1'd1));
assign basesoc_request = {basesoc_lm32_dbus_cyc, basesoc_lm32_ibus_cyc};
// synthesis translate_off
reg dummy_d_288;
// synthesis translate_on
always @(*) begin
basesoc_slave_sel <= 4'd0;
basesoc_slave_sel[0] <= (basesoc_shared_adr[28:26] == 1'd0);
basesoc_slave_sel[1] <= (basesoc_shared_adr[28:26] == 1'd1);
basesoc_slave_sel[2] <= (basesoc_shared_adr[28:26] == 3'd6);
basesoc_slave_sel[3] <= (basesoc_shared_adr[28:26] == 3'd4);
// synthesis translate_off
dummy_d_288 = dummy_s;
// synthesis translate_on
end
assign basesoc_rom_bus_adr = basesoc_shared_adr;
assign basesoc_rom_bus_dat_w = basesoc_shared_dat_w;
assign basesoc_rom_bus_sel = basesoc_shared_sel;
assign basesoc_rom_bus_stb = basesoc_shared_stb;
assign basesoc_rom_bus_we = basesoc_shared_we;
assign basesoc_rom_bus_cti = basesoc_shared_cti;
assign basesoc_rom_bus_bte = basesoc_shared_bte;
assign basesoc_sram_bus_adr = basesoc_shared_adr;
assign basesoc_sram_bus_dat_w = basesoc_shared_dat_w;
assign basesoc_sram_bus_sel = basesoc_shared_sel;
assign basesoc_sram_bus_stb = basesoc_shared_stb;
assign basesoc_sram_bus_we = basesoc_shared_we;
assign basesoc_sram_bus_cti = basesoc_shared_cti;
assign basesoc_sram_bus_bte = basesoc_shared_bte;
assign basesoc_bus_wishbone_adr = basesoc_shared_adr;
assign basesoc_bus_wishbone_dat_w = basesoc_shared_dat_w;
assign basesoc_bus_wishbone_sel = basesoc_shared_sel;
assign basesoc_bus_wishbone_stb = basesoc_shared_stb;
assign basesoc_bus_wishbone_we = basesoc_shared_we;
assign basesoc_bus_wishbone_cti = basesoc_shared_cti;
assign basesoc_bus_wishbone_bte = basesoc_shared_bte;
assign interface1_wb_sdram_adr = basesoc_shared_adr;
assign interface1_wb_sdram_dat_w = basesoc_shared_dat_w;
assign interface1_wb_sdram_sel = basesoc_shared_sel;
assign interface1_wb_sdram_stb = basesoc_shared_stb;
assign interface1_wb_sdram_we = basesoc_shared_we;
assign interface1_wb_sdram_cti = basesoc_shared_cti;
assign interface1_wb_sdram_bte = basesoc_shared_bte;
assign basesoc_rom_bus_cyc = (basesoc_shared_cyc & basesoc_slave_sel[0]);
assign basesoc_sram_bus_cyc = (basesoc_shared_cyc & basesoc_slave_sel[1]);
assign basesoc_bus_wishbone_cyc = (basesoc_shared_cyc & basesoc_slave_sel[2]);
assign interface1_wb_sdram_cyc = (basesoc_shared_cyc & basesoc_slave_sel[3]);
// synthesis translate_off
reg dummy_d_289;
// synthesis translate_on
always @(*) begin
basesoc_shared_ack <= 1'd0;
basesoc_shared_ack <= (((basesoc_rom_bus_ack | basesoc_sram_bus_ack) | basesoc_bus_wishbone_ack) | interface1_wb_sdram_ack);
if (basesoc_done) begin
basesoc_shared_ack <= 1'd1;
end
// synthesis translate_off
dummy_d_289 = dummy_s;
// synthesis translate_on
end
assign basesoc_shared_err = (((basesoc_rom_bus_err | basesoc_sram_bus_err) | basesoc_bus_wishbone_err) | interface1_wb_sdram_err);
// synthesis translate_off
reg dummy_d_290;
// synthesis translate_on
always @(*) begin
basesoc_shared_dat_r <= 32'd0;
basesoc_shared_dat_r <= (((({32{basesoc_slave_sel_r[0]}} & basesoc_rom_bus_dat_r) | ({32{basesoc_slave_sel_r[1]}} & basesoc_sram_bus_dat_r)) | ({32{basesoc_slave_sel_r[2]}} & basesoc_bus_wishbone_dat_r)) | ({32{basesoc_slave_sel_r[3]}} & interface1_wb_sdram_dat_r));
if (basesoc_done) begin
basesoc_shared_dat_r <= 32'd4294967295;
end
// synthesis translate_off
dummy_d_290 = dummy_s;
// synthesis translate_on
end
assign basesoc_wait = ((basesoc_shared_stb & basesoc_shared_cyc) & (~basesoc_shared_ack));
// synthesis translate_off
reg dummy_d_291;
// synthesis translate_on
always @(*) begin
basesoc_error <= 1'd0;
if (basesoc_done) begin
basesoc_error <= 1'd1;
end
// synthesis translate_off
dummy_d_291 = dummy_s;
// synthesis translate_on
end
assign basesoc_done = (basesoc_count == 1'd0);
assign basesoc_csrbank0_sel = (basesoc_interface0_bank_bus_adr[13:9] == 1'd0);
assign basesoc_ctrl_reset_reset_r = basesoc_interface0_bank_bus_dat_w[0];
assign basesoc_ctrl_reset_reset_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 1'd0));
assign basesoc_csrbank0_scratch3_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_scratch3_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 1'd1));
assign basesoc_csrbank0_scratch2_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_scratch2_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 2'd2));
assign basesoc_csrbank0_scratch1_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_scratch1_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 2'd3));
assign basesoc_csrbank0_scratch0_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_scratch0_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 3'd4));
assign basesoc_csrbank0_bus_errors3_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_bus_errors3_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 3'd5));
assign basesoc_csrbank0_bus_errors2_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_bus_errors2_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 3'd6));
assign basesoc_csrbank0_bus_errors1_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_bus_errors1_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 3'd7));
assign basesoc_csrbank0_bus_errors0_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_bus_errors0_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 4'd8));
assign basesoc_ctrl_storage = basesoc_ctrl_storage_full[31:0];
assign basesoc_csrbank0_scratch3_w = basesoc_ctrl_storage_full[31:24];
assign basesoc_csrbank0_scratch2_w = basesoc_ctrl_storage_full[23:16];
assign basesoc_csrbank0_scratch1_w = basesoc_ctrl_storage_full[15:8];
assign basesoc_csrbank0_scratch0_w = basesoc_ctrl_storage_full[7:0];
assign basesoc_csrbank0_bus_errors3_w = basesoc_ctrl_bus_errors_status[31:24];
assign basesoc_csrbank0_bus_errors2_w = basesoc_ctrl_bus_errors_status[23:16];
assign basesoc_csrbank0_bus_errors1_w = basesoc_ctrl_bus_errors_status[15:8];
assign basesoc_csrbank0_bus_errors0_w = basesoc_ctrl_bus_errors_status[7:0];
assign basesoc_csrbank1_sel = (basesoc_interface1_bank_bus_adr[13:9] == 5'd16);
assign basesoc_csrbank1_half_sys8x_taps0_r = basesoc_interface1_bank_bus_dat_w[3:0];
assign basesoc_csrbank1_half_sys8x_taps0_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 1'd0));
assign basesoc_csrbank1_dly_sel0_r = basesoc_interface1_bank_bus_dat_w[1:0];
assign basesoc_csrbank1_dly_sel0_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 1'd1));
assign a7ddrphy_rdly_dq_rst_r = basesoc_interface1_bank_bus_dat_w[0];
assign a7ddrphy_rdly_dq_rst_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 2'd2));
assign a7ddrphy_rdly_dq_inc_r = basesoc_interface1_bank_bus_dat_w[0];
assign a7ddrphy_rdly_dq_inc_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 2'd3));
assign a7ddrphy_rdly_dq_bitslip_rst_r = basesoc_interface1_bank_bus_dat_w[0];
assign a7ddrphy_rdly_dq_bitslip_rst_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 3'd4));
assign a7ddrphy_rdly_dq_bitslip_r = basesoc_interface1_bank_bus_dat_w[0];
assign a7ddrphy_rdly_dq_bitslip_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 3'd5));
assign a7ddrphy_half_sys8x_taps_storage = a7ddrphy_half_sys8x_taps_storage_full[3:0];
assign basesoc_csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage_full[3:0];
assign a7ddrphy_dly_sel_storage = a7ddrphy_dly_sel_storage_full[1:0];
assign basesoc_csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage_full[1:0];
assign basesoc_csrbank2_sel = (basesoc_interface2_bank_bus_adr[13:9] == 4'd8);
assign basesoc_csrbank2_dfii_control0_r = basesoc_interface2_bank_bus_dat_w[3:0];
assign basesoc_csrbank2_dfii_control0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 1'd0));
assign basesoc_csrbank2_dfii_pi0_command0_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi0_command0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 1'd1));
assign sdram_phaseinjector0_command_issue_r = basesoc_interface2_bank_bus_dat_w[0];
assign sdram_phaseinjector0_command_issue_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 2'd2));
assign basesoc_csrbank2_dfii_pi0_address1_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi0_address1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 2'd3));
assign basesoc_csrbank2_dfii_pi0_address0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_address0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 3'd4));
assign basesoc_csrbank2_dfii_pi0_baddress0_r = basesoc_interface2_bank_bus_dat_w[2:0];
assign basesoc_csrbank2_dfii_pi0_baddress0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 3'd5));
assign basesoc_csrbank2_dfii_pi0_wrdata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_wrdata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 3'd6));
assign basesoc_csrbank2_dfii_pi0_wrdata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_wrdata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 3'd7));
assign basesoc_csrbank2_dfii_pi0_wrdata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_wrdata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd8));
assign basesoc_csrbank2_dfii_pi0_wrdata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_wrdata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd9));
assign basesoc_csrbank2_dfii_pi0_rddata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd10));
assign basesoc_csrbank2_dfii_pi0_rddata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd11));
assign basesoc_csrbank2_dfii_pi0_rddata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd12));
assign basesoc_csrbank2_dfii_pi0_rddata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd13));
assign basesoc_csrbank2_dfii_pi1_command0_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi1_command0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd14));
assign sdram_phaseinjector1_command_issue_r = basesoc_interface2_bank_bus_dat_w[0];
assign sdram_phaseinjector1_command_issue_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd15));
assign basesoc_csrbank2_dfii_pi1_address1_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi1_address1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd16));
assign basesoc_csrbank2_dfii_pi1_address0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_address0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd17));
assign basesoc_csrbank2_dfii_pi1_baddress0_r = basesoc_interface2_bank_bus_dat_w[2:0];
assign basesoc_csrbank2_dfii_pi1_baddress0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd18));
assign basesoc_csrbank2_dfii_pi1_wrdata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_wrdata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd19));
assign basesoc_csrbank2_dfii_pi1_wrdata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_wrdata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd20));
assign basesoc_csrbank2_dfii_pi1_wrdata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_wrdata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd21));
assign basesoc_csrbank2_dfii_pi1_wrdata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_wrdata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd22));
assign basesoc_csrbank2_dfii_pi1_rddata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd23));
assign basesoc_csrbank2_dfii_pi1_rddata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd24));
assign basesoc_csrbank2_dfii_pi1_rddata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd25));
assign basesoc_csrbank2_dfii_pi1_rddata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd26));
assign basesoc_csrbank2_dfii_pi2_command0_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi2_command0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd27));
assign sdram_phaseinjector2_command_issue_r = basesoc_interface2_bank_bus_dat_w[0];
assign sdram_phaseinjector2_command_issue_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd28));
assign basesoc_csrbank2_dfii_pi2_address1_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi2_address1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd29));
assign basesoc_csrbank2_dfii_pi2_address0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_address0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd30));
assign basesoc_csrbank2_dfii_pi2_baddress0_r = basesoc_interface2_bank_bus_dat_w[2:0];
assign basesoc_csrbank2_dfii_pi2_baddress0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd31));
assign basesoc_csrbank2_dfii_pi2_wrdata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_wrdata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd32));
assign basesoc_csrbank2_dfii_pi2_wrdata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_wrdata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd33));
assign basesoc_csrbank2_dfii_pi2_wrdata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_wrdata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd34));
assign basesoc_csrbank2_dfii_pi2_wrdata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_wrdata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd35));
assign basesoc_csrbank2_dfii_pi2_rddata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd36));
assign basesoc_csrbank2_dfii_pi2_rddata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd37));
assign basesoc_csrbank2_dfii_pi2_rddata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd38));
assign basesoc_csrbank2_dfii_pi2_rddata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd39));
assign basesoc_csrbank2_dfii_pi3_command0_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi3_command0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd40));
assign sdram_phaseinjector3_command_issue_r = basesoc_interface2_bank_bus_dat_w[0];
assign sdram_phaseinjector3_command_issue_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd41));
assign basesoc_csrbank2_dfii_pi3_address1_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi3_address1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd42));
assign basesoc_csrbank2_dfii_pi3_address0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_address0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd43));
assign basesoc_csrbank2_dfii_pi3_baddress0_r = basesoc_interface2_bank_bus_dat_w[2:0];
assign basesoc_csrbank2_dfii_pi3_baddress0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd44));
assign basesoc_csrbank2_dfii_pi3_wrdata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_wrdata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd45));
assign basesoc_csrbank2_dfii_pi3_wrdata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_wrdata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd46));
assign basesoc_csrbank2_dfii_pi3_wrdata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_wrdata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd47));
assign basesoc_csrbank2_dfii_pi3_wrdata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_wrdata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd48));
assign basesoc_csrbank2_dfii_pi3_rddata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd49));
assign basesoc_csrbank2_dfii_pi3_rddata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd50));
assign basesoc_csrbank2_dfii_pi3_rddata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd51));
assign basesoc_csrbank2_dfii_pi3_rddata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd52));
assign sdram_storage = sdram_storage_full[3:0];
assign basesoc_csrbank2_dfii_control0_w = sdram_storage_full[3:0];
assign sdram_phaseinjector0_command_storage = sdram_phaseinjector0_command_storage_full[5:0];
assign basesoc_csrbank2_dfii_pi0_command0_w = sdram_phaseinjector0_command_storage_full[5:0];
assign sdram_phaseinjector0_address_storage = sdram_phaseinjector0_address_storage_full[13:0];
assign basesoc_csrbank2_dfii_pi0_address1_w = sdram_phaseinjector0_address_storage_full[13:8];
assign basesoc_csrbank2_dfii_pi0_address0_w = sdram_phaseinjector0_address_storage_full[7:0];
assign sdram_phaseinjector0_baddress_storage = sdram_phaseinjector0_baddress_storage_full[2:0];
assign basesoc_csrbank2_dfii_pi0_baddress0_w = sdram_phaseinjector0_baddress_storage_full[2:0];
assign sdram_phaseinjector0_wrdata_storage = sdram_phaseinjector0_wrdata_storage_full[31:0];
assign basesoc_csrbank2_dfii_pi0_wrdata3_w = sdram_phaseinjector0_wrdata_storage_full[31:24];
assign basesoc_csrbank2_dfii_pi0_wrdata2_w = sdram_phaseinjector0_wrdata_storage_full[23:16];
assign basesoc_csrbank2_dfii_pi0_wrdata1_w = sdram_phaseinjector0_wrdata_storage_full[15:8];
assign basesoc_csrbank2_dfii_pi0_wrdata0_w = sdram_phaseinjector0_wrdata_storage_full[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata3_w = sdram_phaseinjector0_status[31:24];
assign basesoc_csrbank2_dfii_pi0_rddata2_w = sdram_phaseinjector0_status[23:16];
assign basesoc_csrbank2_dfii_pi0_rddata1_w = sdram_phaseinjector0_status[15:8];
assign basesoc_csrbank2_dfii_pi0_rddata0_w = sdram_phaseinjector0_status[7:0];
assign sdram_phaseinjector1_command_storage = sdram_phaseinjector1_command_storage_full[5:0];
assign basesoc_csrbank2_dfii_pi1_command0_w = sdram_phaseinjector1_command_storage_full[5:0];
assign sdram_phaseinjector1_address_storage = sdram_phaseinjector1_address_storage_full[13:0];
assign basesoc_csrbank2_dfii_pi1_address1_w = sdram_phaseinjector1_address_storage_full[13:8];
assign basesoc_csrbank2_dfii_pi1_address0_w = sdram_phaseinjector1_address_storage_full[7:0];
assign sdram_phaseinjector1_baddress_storage = sdram_phaseinjector1_baddress_storage_full[2:0];
assign basesoc_csrbank2_dfii_pi1_baddress0_w = sdram_phaseinjector1_baddress_storage_full[2:0];
assign sdram_phaseinjector1_wrdata_storage = sdram_phaseinjector1_wrdata_storage_full[31:0];
assign basesoc_csrbank2_dfii_pi1_wrdata3_w = sdram_phaseinjector1_wrdata_storage_full[31:24];
assign basesoc_csrbank2_dfii_pi1_wrdata2_w = sdram_phaseinjector1_wrdata_storage_full[23:16];
assign basesoc_csrbank2_dfii_pi1_wrdata1_w = sdram_phaseinjector1_wrdata_storage_full[15:8];
assign basesoc_csrbank2_dfii_pi1_wrdata0_w = sdram_phaseinjector1_wrdata_storage_full[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata3_w = sdram_phaseinjector1_status[31:24];
assign basesoc_csrbank2_dfii_pi1_rddata2_w = sdram_phaseinjector1_status[23:16];
assign basesoc_csrbank2_dfii_pi1_rddata1_w = sdram_phaseinjector1_status[15:8];
assign basesoc_csrbank2_dfii_pi1_rddata0_w = sdram_phaseinjector1_status[7:0];
assign sdram_phaseinjector2_command_storage = sdram_phaseinjector2_command_storage_full[5:0];
assign basesoc_csrbank2_dfii_pi2_command0_w = sdram_phaseinjector2_command_storage_full[5:0];
assign sdram_phaseinjector2_address_storage = sdram_phaseinjector2_address_storage_full[13:0];
assign basesoc_csrbank2_dfii_pi2_address1_w = sdram_phaseinjector2_address_storage_full[13:8];
assign basesoc_csrbank2_dfii_pi2_address0_w = sdram_phaseinjector2_address_storage_full[7:0];
assign sdram_phaseinjector2_baddress_storage = sdram_phaseinjector2_baddress_storage_full[2:0];
assign basesoc_csrbank2_dfii_pi2_baddress0_w = sdram_phaseinjector2_baddress_storage_full[2:0];
assign sdram_phaseinjector2_wrdata_storage = sdram_phaseinjector2_wrdata_storage_full[31:0];
assign basesoc_csrbank2_dfii_pi2_wrdata3_w = sdram_phaseinjector2_wrdata_storage_full[31:24];
assign basesoc_csrbank2_dfii_pi2_wrdata2_w = sdram_phaseinjector2_wrdata_storage_full[23:16];
assign basesoc_csrbank2_dfii_pi2_wrdata1_w = sdram_phaseinjector2_wrdata_storage_full[15:8];
assign basesoc_csrbank2_dfii_pi2_wrdata0_w = sdram_phaseinjector2_wrdata_storage_full[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata3_w = sdram_phaseinjector2_status[31:24];
assign basesoc_csrbank2_dfii_pi2_rddata2_w = sdram_phaseinjector2_status[23:16];
assign basesoc_csrbank2_dfii_pi2_rddata1_w = sdram_phaseinjector2_status[15:8];
assign basesoc_csrbank2_dfii_pi2_rddata0_w = sdram_phaseinjector2_status[7:0];
assign sdram_phaseinjector3_command_storage = sdram_phaseinjector3_command_storage_full[5:0];
assign basesoc_csrbank2_dfii_pi3_command0_w = sdram_phaseinjector3_command_storage_full[5:0];
assign sdram_phaseinjector3_address_storage = sdram_phaseinjector3_address_storage_full[13:0];
assign basesoc_csrbank2_dfii_pi3_address1_w = sdram_phaseinjector3_address_storage_full[13:8];
assign basesoc_csrbank2_dfii_pi3_address0_w = sdram_phaseinjector3_address_storage_full[7:0];
assign sdram_phaseinjector3_baddress_storage = sdram_phaseinjector3_baddress_storage_full[2:0];
assign basesoc_csrbank2_dfii_pi3_baddress0_w = sdram_phaseinjector3_baddress_storage_full[2:0];
assign sdram_phaseinjector3_wrdata_storage = sdram_phaseinjector3_wrdata_storage_full[31:0];
assign basesoc_csrbank2_dfii_pi3_wrdata3_w = sdram_phaseinjector3_wrdata_storage_full[31:24];
assign basesoc_csrbank2_dfii_pi3_wrdata2_w = sdram_phaseinjector3_wrdata_storage_full[23:16];
assign basesoc_csrbank2_dfii_pi3_wrdata1_w = sdram_phaseinjector3_wrdata_storage_full[15:8];
assign basesoc_csrbank2_dfii_pi3_wrdata0_w = sdram_phaseinjector3_wrdata_storage_full[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata3_w = sdram_phaseinjector3_status[31:24];
assign basesoc_csrbank2_dfii_pi3_rddata2_w = sdram_phaseinjector3_status[23:16];
assign basesoc_csrbank2_dfii_pi3_rddata1_w = sdram_phaseinjector3_status[15:8];
assign basesoc_csrbank2_dfii_pi3_rddata0_w = sdram_phaseinjector3_status[7:0];
assign basesoc_csrbank3_sel = (basesoc_interface3_bank_bus_adr[13:9] == 3'd5);
assign basesoc_csrbank3_load3_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_load3_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 1'd0));
assign basesoc_csrbank3_load2_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_load2_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 1'd1));
assign basesoc_csrbank3_load1_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_load1_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 2'd2));
assign basesoc_csrbank3_load0_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_load0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 2'd3));
assign basesoc_csrbank3_reload3_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_reload3_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 3'd4));
assign basesoc_csrbank3_reload2_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_reload2_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 3'd5));
assign basesoc_csrbank3_reload1_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_reload1_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 3'd6));
assign basesoc_csrbank3_reload0_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_reload0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 3'd7));
assign basesoc_csrbank3_en0_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_csrbank3_en0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd8));
assign basesoc_timer0_update_value_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_timer0_update_value_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd9));
assign basesoc_csrbank3_value3_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_value3_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd10));
assign basesoc_csrbank3_value2_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_value2_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd11));
assign basesoc_csrbank3_value1_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_value1_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd12));
assign basesoc_csrbank3_value0_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_value0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd13));
assign basesoc_timer0_eventmanager_status_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_timer0_eventmanager_status_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd14));
assign basesoc_timer0_eventmanager_pending_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_timer0_eventmanager_pending_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd15));
assign basesoc_csrbank3_ev_enable0_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_csrbank3_ev_enable0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 5'd16));
assign basesoc_timer0_load_storage = basesoc_timer0_load_storage_full[31:0];
assign basesoc_csrbank3_load3_w = basesoc_timer0_load_storage_full[31:24];
assign basesoc_csrbank3_load2_w = basesoc_timer0_load_storage_full[23:16];
assign basesoc_csrbank3_load1_w = basesoc_timer0_load_storage_full[15:8];
assign basesoc_csrbank3_load0_w = basesoc_timer0_load_storage_full[7:0];
assign basesoc_timer0_reload_storage = basesoc_timer0_reload_storage_full[31:0];
assign basesoc_csrbank3_reload3_w = basesoc_timer0_reload_storage_full[31:24];
assign basesoc_csrbank3_reload2_w = basesoc_timer0_reload_storage_full[23:16];
assign basesoc_csrbank3_reload1_w = basesoc_timer0_reload_storage_full[15:8];
assign basesoc_csrbank3_reload0_w = basesoc_timer0_reload_storage_full[7:0];
assign basesoc_timer0_en_storage = basesoc_timer0_en_storage_full;
assign basesoc_csrbank3_en0_w = basesoc_timer0_en_storage_full;
assign basesoc_csrbank3_value3_w = basesoc_timer0_value_status[31:24];
assign basesoc_csrbank3_value2_w = basesoc_timer0_value_status[23:16];
assign basesoc_csrbank3_value1_w = basesoc_timer0_value_status[15:8];
assign basesoc_csrbank3_value0_w = basesoc_timer0_value_status[7:0];
assign basesoc_timer0_eventmanager_storage = basesoc_timer0_eventmanager_storage_full;
assign basesoc_csrbank3_ev_enable0_w = basesoc_timer0_eventmanager_storage_full;
assign basesoc_csrbank4_sel = (basesoc_interface4_bank_bus_adr[13:9] == 2'd3);
assign basesoc_uart_rxtx_r = basesoc_interface4_bank_bus_dat_w[7:0];
assign basesoc_uart_rxtx_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 1'd0));
assign basesoc_csrbank4_txfull_r = basesoc_interface4_bank_bus_dat_w[0];
assign basesoc_csrbank4_txfull_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 1'd1));
assign basesoc_csrbank4_rxempty_r = basesoc_interface4_bank_bus_dat_w[0];
assign basesoc_csrbank4_rxempty_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 2'd2));
assign basesoc_uart_status_r = basesoc_interface4_bank_bus_dat_w[1:0];
assign basesoc_uart_status_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 2'd3));
assign basesoc_uart_pending_r = basesoc_interface4_bank_bus_dat_w[1:0];
assign basesoc_uart_pending_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 3'd4));
assign basesoc_csrbank4_ev_enable0_r = basesoc_interface4_bank_bus_dat_w[1:0];
assign basesoc_csrbank4_ev_enable0_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 3'd5));
assign basesoc_csrbank4_txfull_w = basesoc_uart_txfull_status;
assign basesoc_csrbank4_rxempty_w = basesoc_uart_rxempty_status;
assign basesoc_uart_storage = basesoc_uart_storage_full[1:0];
assign basesoc_csrbank4_ev_enable0_w = basesoc_uart_storage_full[1:0];
assign basesoc_csrbank5_sel = (basesoc_interface5_bank_bus_adr[13:9] == 2'd2);
assign basesoc_csrbank5_tuning_word3_r = basesoc_interface5_bank_bus_dat_w[7:0];
assign basesoc_csrbank5_tuning_word3_re = ((basesoc_csrbank5_sel & basesoc_interface5_bank_bus_we) & (basesoc_interface5_bank_bus_adr[1:0] == 1'd0));
assign basesoc_csrbank5_tuning_word2_r = basesoc_interface5_bank_bus_dat_w[7:0];
assign basesoc_csrbank5_tuning_word2_re = ((basesoc_csrbank5_sel & basesoc_interface5_bank_bus_we) & (basesoc_interface5_bank_bus_adr[1:0] == 1'd1));
assign basesoc_csrbank5_tuning_word1_r = basesoc_interface5_bank_bus_dat_w[7:0];
assign basesoc_csrbank5_tuning_word1_re = ((basesoc_csrbank5_sel & basesoc_interface5_bank_bus_we) & (basesoc_interface5_bank_bus_adr[1:0] == 2'd2));
assign basesoc_csrbank5_tuning_word0_r = basesoc_interface5_bank_bus_dat_w[7:0];
assign basesoc_csrbank5_tuning_word0_re = ((basesoc_csrbank5_sel & basesoc_interface5_bank_bus_we) & (basesoc_interface5_bank_bus_adr[1:0] == 2'd3));
assign basesoc_uart_phy_storage = basesoc_uart_phy_storage_full[31:0];
assign basesoc_csrbank5_tuning_word3_w = basesoc_uart_phy_storage_full[31:24];
assign basesoc_csrbank5_tuning_word2_w = basesoc_uart_phy_storage_full[23:16];
assign basesoc_csrbank5_tuning_word1_w = basesoc_uart_phy_storage_full[15:8];
assign basesoc_csrbank5_tuning_word0_w = basesoc_uart_phy_storage_full[7:0];
assign basesoc_interface0_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface1_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface2_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface3_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface4_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface5_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface0_bank_bus_we = basesoc_interface_we;
assign basesoc_interface1_bank_bus_we = basesoc_interface_we;
assign basesoc_interface2_bank_bus_we = basesoc_interface_we;
assign basesoc_interface3_bank_bus_we = basesoc_interface_we;
assign basesoc_interface4_bank_bus_we = basesoc_interface_we;
assign basesoc_interface5_bank_bus_we = basesoc_interface_we;
assign basesoc_interface0_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface1_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface2_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface3_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface4_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface5_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface_dat_r = (((((basesoc_interface0_bank_bus_dat_r | basesoc_interface1_bank_bus_dat_r) | basesoc_interface2_bank_bus_dat_r) | basesoc_interface3_bank_bus_dat_r) | basesoc_interface4_bank_bus_dat_r) | basesoc_interface5_bank_bus_dat_r);
// synthesis translate_off
reg dummy_d_292;
// synthesis translate_on
always @(*) begin
rhs_array_muxed0 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[0];
end
1'd1: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[1];
end
2'd2: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[2];
end
2'd3: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[3];
end
3'd4: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[4];
end
3'd5: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[5];
end
3'd6: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[6];
end
default: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[7];
end
endcase
// synthesis translate_off
dummy_d_292 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_293;
// synthesis translate_on
always @(*) begin
rhs_array_muxed1 <= 14'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed1 <= sdram_bankmachine0_cmd_payload_a;
end
1'd1: begin
rhs_array_muxed1 <= sdram_bankmachine1_cmd_payload_a;
end
2'd2: begin
rhs_array_muxed1 <= sdram_bankmachine2_cmd_payload_a;
end
2'd3: begin
rhs_array_muxed1 <= sdram_bankmachine3_cmd_payload_a;
end
3'd4: begin
rhs_array_muxed1 <= sdram_bankmachine4_cmd_payload_a;
end
3'd5: begin
rhs_array_muxed1 <= sdram_bankmachine5_cmd_payload_a;
end
3'd6: begin
rhs_array_muxed1 <= sdram_bankmachine6_cmd_payload_a;
end
default: begin
rhs_array_muxed1 <= sdram_bankmachine7_cmd_payload_a;
end
endcase
// synthesis translate_off
dummy_d_293 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_294;
// synthesis translate_on
always @(*) begin
rhs_array_muxed2 <= 3'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed2 <= sdram_bankmachine0_cmd_payload_ba;
end
1'd1: begin
rhs_array_muxed2 <= sdram_bankmachine1_cmd_payload_ba;
end
2'd2: begin
rhs_array_muxed2 <= sdram_bankmachine2_cmd_payload_ba;
end
2'd3: begin
rhs_array_muxed2 <= sdram_bankmachine3_cmd_payload_ba;
end
3'd4: begin
rhs_array_muxed2 <= sdram_bankmachine4_cmd_payload_ba;
end
3'd5: begin
rhs_array_muxed2 <= sdram_bankmachine5_cmd_payload_ba;
end
3'd6: begin
rhs_array_muxed2 <= sdram_bankmachine6_cmd_payload_ba;
end
default: begin
rhs_array_muxed2 <= sdram_bankmachine7_cmd_payload_ba;
end
endcase
// synthesis translate_off
dummy_d_294 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_295;
// synthesis translate_on
always @(*) begin
rhs_array_muxed3 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed3 <= sdram_bankmachine0_cmd_payload_is_read;
end
1'd1: begin
rhs_array_muxed3 <= sdram_bankmachine1_cmd_payload_is_read;
end
2'd2: begin
rhs_array_muxed3 <= sdram_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
rhs_array_muxed3 <= sdram_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
rhs_array_muxed3 <= sdram_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
rhs_array_muxed3 <= sdram_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
rhs_array_muxed3 <= sdram_bankmachine6_cmd_payload_is_read;
end
default: begin
rhs_array_muxed3 <= sdram_bankmachine7_cmd_payload_is_read;
end
endcase
// synthesis translate_off
dummy_d_295 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_296;
// synthesis translate_on
always @(*) begin
rhs_array_muxed4 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed4 <= sdram_bankmachine0_cmd_payload_is_write;
end
1'd1: begin
rhs_array_muxed4 <= sdram_bankmachine1_cmd_payload_is_write;
end
2'd2: begin
rhs_array_muxed4 <= sdram_bankmachine2_cmd_payload_is_write;
end
2'd3: begin
rhs_array_muxed4 <= sdram_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
rhs_array_muxed4 <= sdram_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
rhs_array_muxed4 <= sdram_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
rhs_array_muxed4 <= sdram_bankmachine6_cmd_payload_is_write;
end
default: begin
rhs_array_muxed4 <= sdram_bankmachine7_cmd_payload_is_write;
end
endcase
// synthesis translate_off
dummy_d_296 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_297;
// synthesis translate_on
always @(*) begin
rhs_array_muxed5 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed5 <= sdram_bankmachine0_cmd_payload_is_cmd;
end
1'd1: begin
rhs_array_muxed5 <= sdram_bankmachine1_cmd_payload_is_cmd;
end
2'd2: begin
rhs_array_muxed5 <= sdram_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
rhs_array_muxed5 <= sdram_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
rhs_array_muxed5 <= sdram_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
rhs_array_muxed5 <= sdram_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
rhs_array_muxed5 <= sdram_bankmachine6_cmd_payload_is_cmd;
end
default: begin
rhs_array_muxed5 <= sdram_bankmachine7_cmd_payload_is_cmd;
end
endcase
// synthesis translate_off
dummy_d_297 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_298;
// synthesis translate_on
always @(*) begin
t_array_muxed0 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
t_array_muxed0 <= sdram_bankmachine0_cmd_payload_cas;
end
1'd1: begin
t_array_muxed0 <= sdram_bankmachine1_cmd_payload_cas;
end
2'd2: begin
t_array_muxed0 <= sdram_bankmachine2_cmd_payload_cas;
end
2'd3: begin
t_array_muxed0 <= sdram_bankmachine3_cmd_payload_cas;
end
3'd4: begin
t_array_muxed0 <= sdram_bankmachine4_cmd_payload_cas;
end
3'd5: begin
t_array_muxed0 <= sdram_bankmachine5_cmd_payload_cas;
end
3'd6: begin
t_array_muxed0 <= sdram_bankmachine6_cmd_payload_cas;
end
default: begin
t_array_muxed0 <= sdram_bankmachine7_cmd_payload_cas;
end
endcase
// synthesis translate_off
dummy_d_298 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_299;
// synthesis translate_on
always @(*) begin
t_array_muxed1 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
t_array_muxed1 <= sdram_bankmachine0_cmd_payload_ras;
end
1'd1: begin
t_array_muxed1 <= sdram_bankmachine1_cmd_payload_ras;
end
2'd2: begin
t_array_muxed1 <= sdram_bankmachine2_cmd_payload_ras;
end
2'd3: begin
t_array_muxed1 <= sdram_bankmachine3_cmd_payload_ras;
end
3'd4: begin
t_array_muxed1 <= sdram_bankmachine4_cmd_payload_ras;
end
3'd5: begin
t_array_muxed1 <= sdram_bankmachine5_cmd_payload_ras;
end
3'd6: begin
t_array_muxed1 <= sdram_bankmachine6_cmd_payload_ras;
end
default: begin
t_array_muxed1 <= sdram_bankmachine7_cmd_payload_ras;
end
endcase
// synthesis translate_off
dummy_d_299 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_300;
// synthesis translate_on
always @(*) begin
t_array_muxed2 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
t_array_muxed2 <= sdram_bankmachine0_cmd_payload_we;
end
1'd1: begin
t_array_muxed2 <= sdram_bankmachine1_cmd_payload_we;
end
2'd2: begin
t_array_muxed2 <= sdram_bankmachine2_cmd_payload_we;
end
2'd3: begin
t_array_muxed2 <= sdram_bankmachine3_cmd_payload_we;
end
3'd4: begin
t_array_muxed2 <= sdram_bankmachine4_cmd_payload_we;
end
3'd5: begin
t_array_muxed2 <= sdram_bankmachine5_cmd_payload_we;
end
3'd6: begin
t_array_muxed2 <= sdram_bankmachine6_cmd_payload_we;
end
default: begin
t_array_muxed2 <= sdram_bankmachine7_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_300 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_301;
// synthesis translate_on
always @(*) begin
rhs_array_muxed6 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed6 <= sdram_choose_req_valids[0];
end
1'd1: begin
rhs_array_muxed6 <= sdram_choose_req_valids[1];
end
2'd2: begin
rhs_array_muxed6 <= sdram_choose_req_valids[2];
end
2'd3: begin
rhs_array_muxed6 <= sdram_choose_req_valids[3];
end
3'd4: begin
rhs_array_muxed6 <= sdram_choose_req_valids[4];
end
3'd5: begin
rhs_array_muxed6 <= sdram_choose_req_valids[5];
end
3'd6: begin
rhs_array_muxed6 <= sdram_choose_req_valids[6];
end
default: begin
rhs_array_muxed6 <= sdram_choose_req_valids[7];
end
endcase
// synthesis translate_off
dummy_d_301 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_302;
// synthesis translate_on
always @(*) begin
rhs_array_muxed7 <= 14'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed7 <= sdram_bankmachine0_cmd_payload_a;
end
1'd1: begin
rhs_array_muxed7 <= sdram_bankmachine1_cmd_payload_a;
end
2'd2: begin
rhs_array_muxed7 <= sdram_bankmachine2_cmd_payload_a;
end
2'd3: begin
rhs_array_muxed7 <= sdram_bankmachine3_cmd_payload_a;
end
3'd4: begin
rhs_array_muxed7 <= sdram_bankmachine4_cmd_payload_a;
end
3'd5: begin
rhs_array_muxed7 <= sdram_bankmachine5_cmd_payload_a;
end
3'd6: begin
rhs_array_muxed7 <= sdram_bankmachine6_cmd_payload_a;
end
default: begin
rhs_array_muxed7 <= sdram_bankmachine7_cmd_payload_a;
end
endcase
// synthesis translate_off
dummy_d_302 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_303;
// synthesis translate_on
always @(*) begin
rhs_array_muxed8 <= 3'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed8 <= sdram_bankmachine0_cmd_payload_ba;
end
1'd1: begin
rhs_array_muxed8 <= sdram_bankmachine1_cmd_payload_ba;
end
2'd2: begin
rhs_array_muxed8 <= sdram_bankmachine2_cmd_payload_ba;
end
2'd3: begin
rhs_array_muxed8 <= sdram_bankmachine3_cmd_payload_ba;
end
3'd4: begin
rhs_array_muxed8 <= sdram_bankmachine4_cmd_payload_ba;
end
3'd5: begin
rhs_array_muxed8 <= sdram_bankmachine5_cmd_payload_ba;
end
3'd6: begin
rhs_array_muxed8 <= sdram_bankmachine6_cmd_payload_ba;
end
default: begin
rhs_array_muxed8 <= sdram_bankmachine7_cmd_payload_ba;
end
endcase
// synthesis translate_off
dummy_d_303 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_304;
// synthesis translate_on
always @(*) begin
rhs_array_muxed9 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed9 <= sdram_bankmachine0_cmd_payload_is_read;
end
1'd1: begin
rhs_array_muxed9 <= sdram_bankmachine1_cmd_payload_is_read;
end
2'd2: begin
rhs_array_muxed9 <= sdram_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
rhs_array_muxed9 <= sdram_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
rhs_array_muxed9 <= sdram_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
rhs_array_muxed9 <= sdram_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
rhs_array_muxed9 <= sdram_bankmachine6_cmd_payload_is_read;
end
default: begin
rhs_array_muxed9 <= sdram_bankmachine7_cmd_payload_is_read;
end
endcase
// synthesis translate_off
dummy_d_304 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_305;
// synthesis translate_on
always @(*) begin
rhs_array_muxed10 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed10 <= sdram_bankmachine0_cmd_payload_is_write;
end
1'd1: begin
rhs_array_muxed10 <= sdram_bankmachine1_cmd_payload_is_write;
end
2'd2: begin
rhs_array_muxed10 <= sdram_bankmachine2_cmd_payload_is_write;
end
2'd3: begin
rhs_array_muxed10 <= sdram_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
rhs_array_muxed10 <= sdram_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
rhs_array_muxed10 <= sdram_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
rhs_array_muxed10 <= sdram_bankmachine6_cmd_payload_is_write;
end
default: begin
rhs_array_muxed10 <= sdram_bankmachine7_cmd_payload_is_write;
end
endcase
// synthesis translate_off
dummy_d_305 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_306;
// synthesis translate_on
always @(*) begin
rhs_array_muxed11 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed11 <= sdram_bankmachine0_cmd_payload_is_cmd;
end
1'd1: begin
rhs_array_muxed11 <= sdram_bankmachine1_cmd_payload_is_cmd;
end
2'd2: begin
rhs_array_muxed11 <= sdram_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
rhs_array_muxed11 <= sdram_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
rhs_array_muxed11 <= sdram_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
rhs_array_muxed11 <= sdram_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
rhs_array_muxed11 <= sdram_bankmachine6_cmd_payload_is_cmd;
end
default: begin
rhs_array_muxed11 <= sdram_bankmachine7_cmd_payload_is_cmd;
end
endcase
// synthesis translate_off
dummy_d_306 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_307;
// synthesis translate_on
always @(*) begin
t_array_muxed3 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
t_array_muxed3 <= sdram_bankmachine0_cmd_payload_cas;
end
1'd1: begin
t_array_muxed3 <= sdram_bankmachine1_cmd_payload_cas;
end
2'd2: begin
t_array_muxed3 <= sdram_bankmachine2_cmd_payload_cas;
end
2'd3: begin
t_array_muxed3 <= sdram_bankmachine3_cmd_payload_cas;
end
3'd4: begin
t_array_muxed3 <= sdram_bankmachine4_cmd_payload_cas;
end
3'd5: begin
t_array_muxed3 <= sdram_bankmachine5_cmd_payload_cas;
end
3'd6: begin
t_array_muxed3 <= sdram_bankmachine6_cmd_payload_cas;
end
default: begin
t_array_muxed3 <= sdram_bankmachine7_cmd_payload_cas;
end
endcase
// synthesis translate_off
dummy_d_307 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_308;
// synthesis translate_on
always @(*) begin
t_array_muxed4 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
t_array_muxed4 <= sdram_bankmachine0_cmd_payload_ras;
end
1'd1: begin
t_array_muxed4 <= sdram_bankmachine1_cmd_payload_ras;
end
2'd2: begin
t_array_muxed4 <= sdram_bankmachine2_cmd_payload_ras;
end
2'd3: begin
t_array_muxed4 <= sdram_bankmachine3_cmd_payload_ras;
end
3'd4: begin
t_array_muxed4 <= sdram_bankmachine4_cmd_payload_ras;
end
3'd5: begin
t_array_muxed4 <= sdram_bankmachine5_cmd_payload_ras;
end
3'd6: begin
t_array_muxed4 <= sdram_bankmachine6_cmd_payload_ras;
end
default: begin
t_array_muxed4 <= sdram_bankmachine7_cmd_payload_ras;
end
endcase
// synthesis translate_off
dummy_d_308 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_309;
// synthesis translate_on
always @(*) begin
t_array_muxed5 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
t_array_muxed5 <= sdram_bankmachine0_cmd_payload_we;
end
1'd1: begin
t_array_muxed5 <= sdram_bankmachine1_cmd_payload_we;
end
2'd2: begin
t_array_muxed5 <= sdram_bankmachine2_cmd_payload_we;
end
2'd3: begin
t_array_muxed5 <= sdram_bankmachine3_cmd_payload_we;
end
3'd4: begin
t_array_muxed5 <= sdram_bankmachine4_cmd_payload_we;
end
3'd5: begin
t_array_muxed5 <= sdram_bankmachine5_cmd_payload_we;
end
3'd6: begin
t_array_muxed5 <= sdram_bankmachine6_cmd_payload_we;
end
default: begin
t_array_muxed5 <= sdram_bankmachine7_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_309 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_310;
// synthesis translate_on
always @(*) begin
rhs_array_muxed12 <= 21'd0;
case (roundrobin0_grant)
default: begin
rhs_array_muxed12 <= rca;
end
endcase
// synthesis translate_off
dummy_d_310 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_311;
// synthesis translate_on
always @(*) begin
rhs_array_muxed13 <= 1'd0;
case (roundrobin0_grant)
default: begin
rhs_array_muxed13 <= port_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_311 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_312;
// synthesis translate_on
always @(*) begin
rhs_array_muxed14 <= 1'd0;
case (roundrobin0_grant)
default: begin
rhs_array_muxed14 <= (((cba == 1'd0) & (~(((((((1'd0 | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
// synthesis translate_off
dummy_d_312 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_313;
// synthesis translate_on
always @(*) begin
rhs_array_muxed15 <= 21'd0;
case (roundrobin1_grant)
default: begin
rhs_array_muxed15 <= rca;
end
endcase
// synthesis translate_off
dummy_d_313 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_314;
// synthesis translate_on
always @(*) begin
rhs_array_muxed16 <= 1'd0;
case (roundrobin1_grant)
default: begin
rhs_array_muxed16 <= port_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_314 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_315;
// synthesis translate_on
always @(*) begin
rhs_array_muxed17 <= 1'd0;
case (roundrobin1_grant)
default: begin
rhs_array_muxed17 <= (((cba == 1'd1) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
// synthesis translate_off
dummy_d_315 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_316;
// synthesis translate_on
always @(*) begin
rhs_array_muxed18 <= 21'd0;
case (roundrobin2_grant)
default: begin
rhs_array_muxed18 <= rca;
end
endcase
// synthesis translate_off
dummy_d_316 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_317;
// synthesis translate_on
always @(*) begin
rhs_array_muxed19 <= 1'd0;
case (roundrobin2_grant)
default: begin
rhs_array_muxed19 <= port_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_317 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_318;
// synthesis translate_on
always @(*) begin
rhs_array_muxed20 <= 1'd0;
case (roundrobin2_grant)
default: begin
rhs_array_muxed20 <= (((cba == 2'd2) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
// synthesis translate_off
dummy_d_318 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_319;
// synthesis translate_on
always @(*) begin
rhs_array_muxed21 <= 21'd0;
case (roundrobin3_grant)
default: begin
rhs_array_muxed21 <= rca;
end
endcase
// synthesis translate_off
dummy_d_319 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_320;
// synthesis translate_on
always @(*) begin
rhs_array_muxed22 <= 1'd0;
case (roundrobin3_grant)
default: begin
rhs_array_muxed22 <= port_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_320 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_321;
// synthesis translate_on
always @(*) begin
rhs_array_muxed23 <= 1'd0;
case (roundrobin3_grant)
default: begin
rhs_array_muxed23 <= (((cba == 2'd3) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
// synthesis translate_off
dummy_d_321 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_322;
// synthesis translate_on
always @(*) begin
rhs_array_muxed24 <= 21'd0;
case (roundrobin4_grant)
default: begin
rhs_array_muxed24 <= rca;
end
endcase
// synthesis translate_off
dummy_d_322 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_323;
// synthesis translate_on
always @(*) begin
rhs_array_muxed25 <= 1'd0;
case (roundrobin4_grant)
default: begin
rhs_array_muxed25 <= port_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_323 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_324;
// synthesis translate_on
always @(*) begin
rhs_array_muxed26 <= 1'd0;
case (roundrobin4_grant)
default: begin
rhs_array_muxed26 <= (((cba == 3'd4) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
// synthesis translate_off
dummy_d_324 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_325;
// synthesis translate_on
always @(*) begin
rhs_array_muxed27 <= 21'd0;
case (roundrobin5_grant)
default: begin
rhs_array_muxed27 <= rca;
end
endcase
// synthesis translate_off
dummy_d_325 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_326;
// synthesis translate_on
always @(*) begin
rhs_array_muxed28 <= 1'd0;
case (roundrobin5_grant)
default: begin
rhs_array_muxed28 <= port_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_326 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_327;
// synthesis translate_on
always @(*) begin
rhs_array_muxed29 <= 1'd0;
case (roundrobin5_grant)
default: begin
rhs_array_muxed29 <= (((cba == 3'd5) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
// synthesis translate_off
dummy_d_327 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_328;
// synthesis translate_on
always @(*) begin
rhs_array_muxed30 <= 21'd0;
case (roundrobin6_grant)
default: begin
rhs_array_muxed30 <= rca;
end
endcase
// synthesis translate_off
dummy_d_328 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_329;
// synthesis translate_on
always @(*) begin
rhs_array_muxed31 <= 1'd0;
case (roundrobin6_grant)
default: begin
rhs_array_muxed31 <= port_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_329 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_330;
// synthesis translate_on
always @(*) begin
rhs_array_muxed32 <= 1'd0;
case (roundrobin6_grant)
default: begin
rhs_array_muxed32 <= (((cba == 3'd6) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
// synthesis translate_off
dummy_d_330 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_331;
// synthesis translate_on
always @(*) begin
rhs_array_muxed33 <= 21'd0;
case (roundrobin7_grant)
default: begin
rhs_array_muxed33 <= rca;
end
endcase
// synthesis translate_off
dummy_d_331 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_332;
// synthesis translate_on
always @(*) begin
rhs_array_muxed34 <= 1'd0;
case (roundrobin7_grant)
default: begin
rhs_array_muxed34 <= port_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_332 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_333;
// synthesis translate_on
always @(*) begin
rhs_array_muxed35 <= 1'd0;
case (roundrobin7_grant)
default: begin
rhs_array_muxed35 <= (((cba == 3'd7) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & port_cmd_valid);
end
endcase
// synthesis translate_off
dummy_d_333 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_334;
// synthesis translate_on
always @(*) begin
rhs_array_muxed36 <= 30'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed36 <= interface1_wb_sdram_adr;
end
endcase
// synthesis translate_off
dummy_d_334 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_335;
// synthesis translate_on
always @(*) begin
rhs_array_muxed37 <= 32'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed37 <= interface1_wb_sdram_dat_w;
end
endcase
// synthesis translate_off
dummy_d_335 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_336;
// synthesis translate_on
always @(*) begin
rhs_array_muxed38 <= 4'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed38 <= interface1_wb_sdram_sel;
end
endcase
// synthesis translate_off
dummy_d_336 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_337;
// synthesis translate_on
always @(*) begin
rhs_array_muxed39 <= 1'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed39 <= interface1_wb_sdram_cyc;
end
endcase
// synthesis translate_off
dummy_d_337 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_338;
// synthesis translate_on
always @(*) begin
rhs_array_muxed40 <= 1'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed40 <= interface1_wb_sdram_stb;
end
endcase
// synthesis translate_off
dummy_d_338 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_339;
// synthesis translate_on
always @(*) begin
rhs_array_muxed41 <= 1'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed41 <= interface1_wb_sdram_we;
end
endcase
// synthesis translate_off
dummy_d_339 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_340;
// synthesis translate_on
always @(*) begin
rhs_array_muxed42 <= 3'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed42 <= interface1_wb_sdram_cti;
end
endcase
// synthesis translate_off
dummy_d_340 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_341;
// synthesis translate_on
always @(*) begin
rhs_array_muxed43 <= 2'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed43 <= interface1_wb_sdram_bte;
end
endcase
// synthesis translate_off
dummy_d_341 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_342;
// synthesis translate_on
always @(*) begin
rhs_array_muxed44 <= 30'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed44 <= basesoc_lm32_ibus_adr;
end
default: begin
rhs_array_muxed44 <= basesoc_lm32_dbus_adr;
end
endcase
// synthesis translate_off
dummy_d_342 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_343;
// synthesis translate_on
always @(*) begin
rhs_array_muxed45 <= 32'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed45 <= basesoc_lm32_ibus_dat_w;
end
default: begin
rhs_array_muxed45 <= basesoc_lm32_dbus_dat_w;
end
endcase
// synthesis translate_off
dummy_d_343 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_344;
// synthesis translate_on
always @(*) begin
rhs_array_muxed46 <= 4'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed46 <= basesoc_lm32_ibus_sel;
end
default: begin
rhs_array_muxed46 <= basesoc_lm32_dbus_sel;
end
endcase
// synthesis translate_off
dummy_d_344 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_345;
// synthesis translate_on
always @(*) begin
rhs_array_muxed47 <= 1'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed47 <= basesoc_lm32_ibus_cyc;
end
default: begin
rhs_array_muxed47 <= basesoc_lm32_dbus_cyc;
end
endcase
// synthesis translate_off
dummy_d_345 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_346;
// synthesis translate_on
always @(*) begin
rhs_array_muxed48 <= 1'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed48 <= basesoc_lm32_ibus_stb;
end
default: begin
rhs_array_muxed48 <= basesoc_lm32_dbus_stb;
end
endcase
// synthesis translate_off
dummy_d_346 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_347;
// synthesis translate_on
always @(*) begin
rhs_array_muxed49 <= 1'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed49 <= basesoc_lm32_ibus_we;
end
default: begin
rhs_array_muxed49 <= basesoc_lm32_dbus_we;
end
endcase
// synthesis translate_off
dummy_d_347 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_348;
// synthesis translate_on
always @(*) begin
rhs_array_muxed50 <= 3'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed50 <= basesoc_lm32_ibus_cti;
end
default: begin
rhs_array_muxed50 <= basesoc_lm32_dbus_cti;
end
endcase
// synthesis translate_off
dummy_d_348 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_349;
// synthesis translate_on
always @(*) begin
rhs_array_muxed51 <= 2'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed51 <= basesoc_lm32_ibus_bte;
end
default: begin
rhs_array_muxed51 <= basesoc_lm32_dbus_bte;
end
endcase
// synthesis translate_off
dummy_d_349 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_350;
// synthesis translate_on
always @(*) begin
array_muxed0 <= 3'd0;
case (sdram_sel0)
1'd0: begin
array_muxed0 <= sdram_nop_ba[2:0];
end
1'd1: begin
array_muxed0 <= sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
array_muxed0 <= sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
array_muxed0 <= sdram_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
dummy_d_350 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_351;
// synthesis translate_on
always @(*) begin
array_muxed1 <= 14'd0;
case (sdram_sel0)
1'd0: begin
array_muxed1 <= sdram_nop_a;
end
1'd1: begin
array_muxed1 <= sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
array_muxed1 <= sdram_choose_req_cmd_payload_a;
end
default: begin
array_muxed1 <= sdram_cmd_payload_a;
end
endcase
// synthesis translate_off
dummy_d_351 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_352;
// synthesis translate_on
always @(*) begin
array_muxed2 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed2 <= sdram_nop_cas;
end
1'd1: begin
array_muxed2 <= sdram_choose_cmd_cmd_payload_cas;
end
2'd2: begin
array_muxed2 <= sdram_choose_req_cmd_payload_cas;
end
default: begin
array_muxed2 <= sdram_cmd_payload_cas;
end
endcase
// synthesis translate_off
dummy_d_352 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_353;
// synthesis translate_on
always @(*) begin
array_muxed3 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed3 <= sdram_nop_ras;
end
1'd1: begin
array_muxed3 <= sdram_choose_cmd_cmd_payload_ras;
end
2'd2: begin
array_muxed3 <= sdram_choose_req_cmd_payload_ras;
end
default: begin
array_muxed3 <= sdram_cmd_payload_ras;
end
endcase
// synthesis translate_off
dummy_d_353 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_354;
// synthesis translate_on
always @(*) begin
array_muxed4 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed4 <= sdram_nop_we;
end
1'd1: begin
array_muxed4 <= sdram_choose_cmd_cmd_payload_we;
end
2'd2: begin
array_muxed4 <= sdram_choose_req_cmd_payload_we;
end
default: begin
array_muxed4 <= sdram_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_354 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_355;
// synthesis translate_on
always @(*) begin
array_muxed5 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed5 <= 1'd0;
end
1'd1: begin
array_muxed5 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
array_muxed5 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_read);
end
default: begin
array_muxed5 <= (sdram_cmd_valid & sdram_cmd_payload_is_read);
end
endcase
// synthesis translate_off
dummy_d_355 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_356;
// synthesis translate_on
always @(*) begin
array_muxed6 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed6 <= 1'd0;
end
1'd1: begin
array_muxed6 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
array_muxed6 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_write);
end
default: begin
array_muxed6 <= (sdram_cmd_valid & sdram_cmd_payload_is_write);
end
endcase
// synthesis translate_off
dummy_d_356 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_357;
// synthesis translate_on
always @(*) begin
array_muxed7 <= 3'd0;
case (sdram_sel1)
1'd0: begin
array_muxed7 <= sdram_nop_ba[2:0];
end
1'd1: begin
array_muxed7 <= sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
array_muxed7 <= sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
array_muxed7 <= sdram_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
dummy_d_357 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_358;
// synthesis translate_on
always @(*) begin
array_muxed8 <= 14'd0;
case (sdram_sel1)
1'd0: begin
array_muxed8 <= sdram_nop_a;
end
1'd1: begin
array_muxed8 <= sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
array_muxed8 <= sdram_choose_req_cmd_payload_a;
end
default: begin
array_muxed8 <= sdram_cmd_payload_a;
end
endcase
// synthesis translate_off
dummy_d_358 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_359;
// synthesis translate_on
always @(*) begin
array_muxed9 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed9 <= sdram_nop_cas;
end
1'd1: begin
array_muxed9 <= sdram_choose_cmd_cmd_payload_cas;
end
2'd2: begin
array_muxed9 <= sdram_choose_req_cmd_payload_cas;
end
default: begin
array_muxed9 <= sdram_cmd_payload_cas;
end
endcase
// synthesis translate_off
dummy_d_359 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_360;
// synthesis translate_on
always @(*) begin
array_muxed10 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed10 <= sdram_nop_ras;
end
1'd1: begin
array_muxed10 <= sdram_choose_cmd_cmd_payload_ras;
end
2'd2: begin
array_muxed10 <= sdram_choose_req_cmd_payload_ras;
end
default: begin
array_muxed10 <= sdram_cmd_payload_ras;
end
endcase
// synthesis translate_off
dummy_d_360 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_361;
// synthesis translate_on
always @(*) begin
array_muxed11 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed11 <= sdram_nop_we;
end
1'd1: begin
array_muxed11 <= sdram_choose_cmd_cmd_payload_we;
end
2'd2: begin
array_muxed11 <= sdram_choose_req_cmd_payload_we;
end
default: begin
array_muxed11 <= sdram_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_361 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_362;
// synthesis translate_on
always @(*) begin
array_muxed12 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed12 <= 1'd0;
end
1'd1: begin
array_muxed12 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
array_muxed12 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_read);
end
default: begin
array_muxed12 <= (sdram_cmd_valid & sdram_cmd_payload_is_read);
end
endcase
// synthesis translate_off
dummy_d_362 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_363;
// synthesis translate_on
always @(*) begin
array_muxed13 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed13 <= 1'd0;
end
1'd1: begin
array_muxed13 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
array_muxed13 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_write);
end
default: begin
array_muxed13 <= (sdram_cmd_valid & sdram_cmd_payload_is_write);
end
endcase
// synthesis translate_off
dummy_d_363 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_364;
// synthesis translate_on
always @(*) begin
array_muxed14 <= 3'd0;
case (sdram_sel2)
1'd0: begin
array_muxed14 <= sdram_nop_ba[2:0];
end
1'd1: begin
array_muxed14 <= sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
array_muxed14 <= sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
array_muxed14 <= sdram_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
dummy_d_364 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_365;
// synthesis translate_on
always @(*) begin
array_muxed15 <= 14'd0;
case (sdram_sel2)
1'd0: begin
array_muxed15 <= sdram_nop_a;
end
1'd1: begin
array_muxed15 <= sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
array_muxed15 <= sdram_choose_req_cmd_payload_a;
end
default: begin
array_muxed15 <= sdram_cmd_payload_a;
end
endcase
// synthesis translate_off
dummy_d_365 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_366;
// synthesis translate_on
always @(*) begin
array_muxed16 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed16 <= sdram_nop_cas;
end
1'd1: begin
array_muxed16 <= sdram_choose_cmd_cmd_payload_cas;
end
2'd2: begin
array_muxed16 <= sdram_choose_req_cmd_payload_cas;
end
default: begin
array_muxed16 <= sdram_cmd_payload_cas;
end
endcase
// synthesis translate_off
dummy_d_366 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_367;
// synthesis translate_on
always @(*) begin
array_muxed17 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed17 <= sdram_nop_ras;
end
1'd1: begin
array_muxed17 <= sdram_choose_cmd_cmd_payload_ras;
end
2'd2: begin
array_muxed17 <= sdram_choose_req_cmd_payload_ras;
end
default: begin
array_muxed17 <= sdram_cmd_payload_ras;
end
endcase
// synthesis translate_off
dummy_d_367 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_368;
// synthesis translate_on
always @(*) begin
array_muxed18 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed18 <= sdram_nop_we;
end
1'd1: begin
array_muxed18 <= sdram_choose_cmd_cmd_payload_we;
end
2'd2: begin
array_muxed18 <= sdram_choose_req_cmd_payload_we;
end
default: begin
array_muxed18 <= sdram_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_368 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_369;
// synthesis translate_on
always @(*) begin
array_muxed19 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed19 <= 1'd0;
end
1'd1: begin
array_muxed19 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
array_muxed19 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_read);
end
default: begin
array_muxed19 <= (sdram_cmd_valid & sdram_cmd_payload_is_read);
end
endcase
// synthesis translate_off
dummy_d_369 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_370;
// synthesis translate_on
always @(*) begin
array_muxed20 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed20 <= 1'd0;
end
1'd1: begin
array_muxed20 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
array_muxed20 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_write);
end
default: begin
array_muxed20 <= (sdram_cmd_valid & sdram_cmd_payload_is_write);
end
endcase
// synthesis translate_off
dummy_d_370 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_371;
// synthesis translate_on
always @(*) begin
array_muxed21 <= 3'd0;
case (sdram_sel3)
1'd0: begin
array_muxed21 <= sdram_nop_ba[2:0];
end
1'd1: begin
array_muxed21 <= sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
array_muxed21 <= sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
array_muxed21 <= sdram_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
dummy_d_371 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_372;
// synthesis translate_on
always @(*) begin
array_muxed22 <= 14'd0;
case (sdram_sel3)
1'd0: begin
array_muxed22 <= sdram_nop_a;
end
1'd1: begin
array_muxed22 <= sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
array_muxed22 <= sdram_choose_req_cmd_payload_a;
end
default: begin
array_muxed22 <= sdram_cmd_payload_a;
end
endcase
// synthesis translate_off
dummy_d_372 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_373;
// synthesis translate_on
always @(*) begin
array_muxed23 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed23 <= sdram_nop_cas;
end
1'd1: begin
array_muxed23 <= sdram_choose_cmd_cmd_payload_cas;
end
2'd2: begin
array_muxed23 <= sdram_choose_req_cmd_payload_cas;
end
default: begin
array_muxed23 <= sdram_cmd_payload_cas;
end
endcase
// synthesis translate_off
dummy_d_373 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_374;
// synthesis translate_on
always @(*) begin
array_muxed24 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed24 <= sdram_nop_ras;
end
1'd1: begin
array_muxed24 <= sdram_choose_cmd_cmd_payload_ras;
end
2'd2: begin
array_muxed24 <= sdram_choose_req_cmd_payload_ras;
end
default: begin
array_muxed24 <= sdram_cmd_payload_ras;
end
endcase
// synthesis translate_off
dummy_d_374 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_375;
// synthesis translate_on
always @(*) begin
array_muxed25 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed25 <= sdram_nop_we;
end
1'd1: begin
array_muxed25 <= sdram_choose_cmd_cmd_payload_we;
end
2'd2: begin
array_muxed25 <= sdram_choose_req_cmd_payload_we;
end
default: begin
array_muxed25 <= sdram_cmd_payload_we;
end
endcase
// synthesis translate_off
dummy_d_375 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_376;
// synthesis translate_on
always @(*) begin
array_muxed26 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed26 <= 1'd0;
end
1'd1: begin
array_muxed26 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
array_muxed26 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_read);
end
default: begin
array_muxed26 <= (sdram_cmd_valid & sdram_cmd_payload_is_read);
end
endcase
// synthesis translate_off
dummy_d_376 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
reg dummy_d_377;
// synthesis translate_on
always @(*) begin
array_muxed27 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed27 <= 1'd0;
end
1'd1: begin
array_muxed27 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
array_muxed27 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_write);
end
default: begin
array_muxed27 <= (sdram_cmd_valid & sdram_cmd_payload_is_write);
end
endcase
// synthesis translate_off
dummy_d_377 = dummy_s;
// synthesis translate_on
end
assign basesoc_uart_phy_rx = regs1;
assign xilinxasyncresetsynchronizerimpl0 = ((~pll_locked) | (~cpu_reset));
assign xilinxasyncresetsynchronizerimpl1 = ((~pll_locked) | (~cpu_reset));
assign xilinxasyncresetsynchronizerimpl2 = ((~pll_locked) | (~cpu_reset));
always @(posedge clk200_clk) begin
if ((reset_counter != 1'd0)) begin
reset_counter <= (reset_counter - 1'd1);
end else begin
ic_reset <= 1'd0;
end
if (clk200_rst) begin
reset_counter <= 4'd15;
ic_reset <= 1'd1;
end
end
always @(posedge sys_clk) begin
if ((basesoc_ctrl_bus_errors != 32'd4294967295)) begin
if (basesoc_ctrl_bus_error) begin
basesoc_ctrl_bus_errors <= (basesoc_ctrl_bus_errors + 1'd1);
end
end
basesoc_rom_bus_ack <= 1'd0;
if (((basesoc_rom_bus_cyc & basesoc_rom_bus_stb) & (~basesoc_rom_bus_ack))) begin
basesoc_rom_bus_ack <= 1'd1;
end
basesoc_sram_bus_ack <= 1'd0;
if (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & (~basesoc_sram_bus_ack))) begin
basesoc_sram_bus_ack <= 1'd1;
end
basesoc_interface_we <= 1'd0;
basesoc_interface_dat_w <= basesoc_bus_wishbone_dat_w;
basesoc_interface_adr <= basesoc_bus_wishbone_adr;
basesoc_bus_wishbone_dat_r <= basesoc_interface_dat_r;
if ((basesoc_counter == 1'd1)) begin
basesoc_interface_we <= basesoc_bus_wishbone_we;
end
if ((basesoc_counter == 2'd2)) begin
basesoc_bus_wishbone_ack <= 1'd1;
end
if ((basesoc_counter == 2'd3)) begin
basesoc_bus_wishbone_ack <= 1'd0;
end
if ((basesoc_counter != 1'd0)) begin
basesoc_counter <= (basesoc_counter + 1'd1);
end else begin
if ((basesoc_bus_wishbone_cyc & basesoc_bus_wishbone_stb)) begin
basesoc_counter <= 1'd1;
end
end
basesoc_uart_phy_sink_ready <= 1'd0;
if (((basesoc_uart_phy_sink_valid & (~basesoc_uart_phy_tx_busy)) & (~basesoc_uart_phy_sink_ready))) begin
basesoc_uart_phy_tx_reg <= basesoc_uart_phy_sink_payload_data;
basesoc_uart_phy_tx_bitcount <= 1'd0;
basesoc_uart_phy_tx_busy <= 1'd1;
serial_tx <= 1'd0;
end else begin
if ((basesoc_uart_phy_uart_clk_txen & basesoc_uart_phy_tx_busy)) begin
basesoc_uart_phy_tx_bitcount <= (basesoc_uart_phy_tx_bitcount + 1'd1);
if ((basesoc_uart_phy_tx_bitcount == 4'd8)) begin
serial_tx <= 1'd1;
end else begin
if ((basesoc_uart_phy_tx_bitcount == 4'd9)) begin
serial_tx <= 1'd1;
basesoc_uart_phy_tx_busy <= 1'd0;
basesoc_uart_phy_sink_ready <= 1'd1;
end else begin
serial_tx <= basesoc_uart_phy_tx_reg[0];
basesoc_uart_phy_tx_reg <= {1'd0, basesoc_uart_phy_tx_reg[7:1]};
end
end
end
end
if (basesoc_uart_phy_tx_busy) begin
{basesoc_uart_phy_uart_clk_txen, basesoc_uart_phy_phase_accumulator_tx} <= (basesoc_uart_phy_phase_accumulator_tx + basesoc_uart_phy_storage);
end else begin
{basesoc_uart_phy_uart_clk_txen, basesoc_uart_phy_phase_accumulator_tx} <= 1'd0;
end
basesoc_uart_phy_source_valid <= 1'd0;
basesoc_uart_phy_rx_r <= basesoc_uart_phy_rx;
if ((~basesoc_uart_phy_rx_busy)) begin
if (((~basesoc_uart_phy_rx) & basesoc_uart_phy_rx_r)) begin
basesoc_uart_phy_rx_busy <= 1'd1;
basesoc_uart_phy_rx_bitcount <= 1'd0;
end
end else begin
if (basesoc_uart_phy_uart_clk_rxen) begin
basesoc_uart_phy_rx_bitcount <= (basesoc_uart_phy_rx_bitcount + 1'd1);
if ((basesoc_uart_phy_rx_bitcount == 1'd0)) begin
if (basesoc_uart_phy_rx) begin
basesoc_uart_phy_rx_busy <= 1'd0;
end
end else begin
if ((basesoc_uart_phy_rx_bitcount == 4'd9)) begin
basesoc_uart_phy_rx_busy <= 1'd0;
if (basesoc_uart_phy_rx) begin
basesoc_uart_phy_source_payload_data <= basesoc_uart_phy_rx_reg;
basesoc_uart_phy_source_valid <= 1'd1;
end
end else begin
basesoc_uart_phy_rx_reg <= {basesoc_uart_phy_rx, basesoc_uart_phy_rx_reg[7:1]};
end
end
end
end
if (basesoc_uart_phy_rx_busy) begin
{basesoc_uart_phy_uart_clk_rxen, basesoc_uart_phy_phase_accumulator_rx} <= (basesoc_uart_phy_phase_accumulator_rx + basesoc_uart_phy_storage);
end else begin
{basesoc_uart_phy_uart_clk_rxen, basesoc_uart_phy_phase_accumulator_rx} <= 32'd2147483648;
end
if (basesoc_uart_tx_clear) begin
basesoc_uart_tx_pending <= 1'd0;
end
basesoc_uart_tx_old_trigger <= basesoc_uart_tx_trigger;
if (((~basesoc_uart_tx_trigger) & basesoc_uart_tx_old_trigger)) begin
basesoc_uart_tx_pending <= 1'd1;
end
if (basesoc_uart_rx_clear) begin
basesoc_uart_rx_pending <= 1'd0;
end
basesoc_uart_rx_old_trigger <= basesoc_uart_rx_trigger;
if (((~basesoc_uart_rx_trigger) & basesoc_uart_rx_old_trigger)) begin
basesoc_uart_rx_pending <= 1'd1;
end
if (((basesoc_uart_tx_fifo_syncfifo_we & basesoc_uart_tx_fifo_syncfifo_writable) & (~basesoc_uart_tx_fifo_replace))) begin
basesoc_uart_tx_fifo_produce <= (basesoc_uart_tx_fifo_produce + 1'd1);
end
if (basesoc_uart_tx_fifo_do_read) begin
basesoc_uart_tx_fifo_consume <= (basesoc_uart_tx_fifo_consume + 1'd1);
end
if (((basesoc_uart_tx_fifo_syncfifo_we & basesoc_uart_tx_fifo_syncfifo_writable) & (~basesoc_uart_tx_fifo_replace))) begin
if ((~basesoc_uart_tx_fifo_do_read)) begin
basesoc_uart_tx_fifo_level <= (basesoc_uart_tx_fifo_level + 1'd1);
end
end else begin
if (basesoc_uart_tx_fifo_do_read) begin
basesoc_uart_tx_fifo_level <= (basesoc_uart_tx_fifo_level - 1'd1);
end
end
if (((basesoc_uart_rx_fifo_syncfifo_we & basesoc_uart_rx_fifo_syncfifo_writable) & (~basesoc_uart_rx_fifo_replace))) begin
basesoc_uart_rx_fifo_produce <= (basesoc_uart_rx_fifo_produce + 1'd1);
end
if (basesoc_uart_rx_fifo_do_read) begin
basesoc_uart_rx_fifo_consume <= (basesoc_uart_rx_fifo_consume + 1'd1);
end
if (((basesoc_uart_rx_fifo_syncfifo_we & basesoc_uart_rx_fifo_syncfifo_writable) & (~basesoc_uart_rx_fifo_replace))) begin
if ((~basesoc_uart_rx_fifo_do_read)) begin
basesoc_uart_rx_fifo_level <= (basesoc_uart_rx_fifo_level + 1'd1);
end
end else begin
if (basesoc_uart_rx_fifo_do_read) begin
basesoc_uart_rx_fifo_level <= (basesoc_uart_rx_fifo_level - 1'd1);
end
end
if (basesoc_uart_reset) begin
basesoc_uart_tx_pending <= 1'd0;
basesoc_uart_tx_old_trigger <= 1'd0;
basesoc_uart_rx_pending <= 1'd0;
basesoc_uart_rx_old_trigger <= 1'd0;
basesoc_uart_tx_fifo_level <= 5'd0;
basesoc_uart_tx_fifo_produce <= 4'd0;
basesoc_uart_tx_fifo_consume <= 4'd0;
basesoc_uart_rx_fifo_level <= 5'd0;
basesoc_uart_rx_fifo_produce <= 4'd0;
basesoc_uart_rx_fifo_consume <= 4'd0;
end
if (basesoc_timer0_en_storage) begin
if ((basesoc_timer0_value == 1'd0)) begin
basesoc_timer0_value <= basesoc_timer0_reload_storage;
end else begin
basesoc_timer0_value <= (basesoc_timer0_value - 1'd1);
end
end else begin
basesoc_timer0_value <= basesoc_timer0_load_storage;
end
if (basesoc_timer0_update_value_re) begin
basesoc_timer0_value_status <= basesoc_timer0_value;
end
if (basesoc_timer0_zero_clear) begin
basesoc_timer0_zero_pending <= 1'd0;
end
basesoc_timer0_zero_old_trigger <= basesoc_timer0_zero_trigger;
if (((~basesoc_timer0_zero_trigger) & basesoc_timer0_zero_old_trigger)) begin
basesoc_timer0_zero_pending <= 1'd1;
end
a7ddrphy_n_rddata_en0 <= a7ddrphy_dfi_p2_rddata_en;
a7ddrphy_n_rddata_en1 <= a7ddrphy_n_rddata_en0;
a7ddrphy_n_rddata_en2 <= a7ddrphy_n_rddata_en1;
a7ddrphy_n_rddata_en3 <= a7ddrphy_n_rddata_en2;
a7ddrphy_n_rddata_en4 <= a7ddrphy_n_rddata_en3;
a7ddrphy_dfi_p0_rddata_valid <= a7ddrphy_n_rddata_en4;
a7ddrphy_dfi_p1_rddata_valid <= a7ddrphy_n_rddata_en4;
a7ddrphy_dfi_p2_rddata_valid <= a7ddrphy_n_rddata_en4;
a7ddrphy_dfi_p3_rddata_valid <= a7ddrphy_n_rddata_en4;
a7ddrphy_last_wrdata_en <= {a7ddrphy_last_wrdata_en[2:0], a7ddrphy_dfi_p3_wrdata_en};
a7ddrphy_oe_dqs <= a7ddrphy_oe;
a7ddrphy_oe_dq <= a7ddrphy_oe;
if (sdram_inti_p0_rddata_valid) begin
sdram_phaseinjector0_status <= sdram_inti_p0_rddata;
end
if (sdram_inti_p1_rddata_valid) begin
sdram_phaseinjector1_status <= sdram_inti_p1_rddata;
end
if (sdram_inti_p2_rddata_valid) begin
sdram_phaseinjector2_status <= sdram_inti_p2_rddata;
end
if (sdram_inti_p3_rddata_valid) begin
sdram_phaseinjector3_status <= sdram_inti_p3_rddata;
end
sdram_cmd_payload_a <= 11'd1024;
sdram_cmd_payload_ba <= 1'd0;
sdram_cmd_payload_cas <= 1'd0;
sdram_cmd_payload_ras <= 1'd0;
sdram_cmd_payload_we <= 1'd0;
sdram_seq_done <= 1'd0;
if ((sdram_counter == 1'd1)) begin
sdram_cmd_payload_ras <= 1'd1;
sdram_cmd_payload_we <= 1'd1;
end
if ((sdram_counter == 3'd4)) begin
sdram_cmd_payload_cas <= 1'd1;
sdram_cmd_payload_ras <= 1'd1;
end
if ((sdram_counter == 5'd18)) begin
sdram_seq_done <= 1'd1;
end
if ((sdram_counter == 5'd18)) begin
sdram_counter <= 1'd0;
end else begin
if ((sdram_counter != 1'd0)) begin
sdram_counter <= (sdram_counter + 1'd1);
end else begin
if (sdram_seq_start) begin
sdram_counter <= 1'd1;
end
end
end
if (sdram_wait) begin
if ((~sdram_done)) begin
sdram_count <= (sdram_count - 1'd1);
end
end else begin
sdram_count <= 10'd782;
end
refresher_state <= refresher_next_state;
if (sdram_bankmachine0_track_close) begin
sdram_bankmachine0_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine0_track_open) begin
sdram_bankmachine0_has_openrow <= 1'd1;
sdram_bankmachine0_openrow <= sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine0_cmd_buffer_lookahead_produce <= (sdram_bankmachine0_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine0_cmd_buffer_lookahead_consume <= (sdram_bankmachine0_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine0_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine0_cmd_buffer_lookahead_level <= (sdram_bankmachine0_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine0_cmd_buffer_lookahead_level <= (sdram_bankmachine0_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine0_cmd_buffer_pipe_ce) begin
sdram_bankmachine0_cmd_buffer_valid_n <= sdram_bankmachine0_cmd_buffer_sink_valid;
end
if (sdram_bankmachine0_cmd_buffer_pipe_ce) begin
sdram_bankmachine0_cmd_buffer_first_n <= (sdram_bankmachine0_cmd_buffer_sink_valid & sdram_bankmachine0_cmd_buffer_sink_first);
sdram_bankmachine0_cmd_buffer_last_n <= (sdram_bankmachine0_cmd_buffer_sink_valid & sdram_bankmachine0_cmd_buffer_sink_last);
end
if (sdram_bankmachine0_cmd_buffer_pipe_ce) begin
sdram_bankmachine0_cmd_buffer_source_payload_we <= sdram_bankmachine0_cmd_buffer_sink_payload_we;
sdram_bankmachine0_cmd_buffer_source_payload_addr <= sdram_bankmachine0_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine0_wait) begin
if ((~sdram_bankmachine0_done)) begin
sdram_bankmachine0_count <= (sdram_bankmachine0_count - 1'd1);
end
end else begin
sdram_bankmachine0_count <= 3'd5;
end
bankmachine0_state <= bankmachine0_next_state;
if (sdram_bankmachine1_track_close) begin
sdram_bankmachine1_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine1_track_open) begin
sdram_bankmachine1_has_openrow <= 1'd1;
sdram_bankmachine1_openrow <= sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine1_cmd_buffer_lookahead_produce <= (sdram_bankmachine1_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine1_cmd_buffer_lookahead_consume <= (sdram_bankmachine1_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine1_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine1_cmd_buffer_lookahead_level <= (sdram_bankmachine1_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine1_cmd_buffer_lookahead_level <= (sdram_bankmachine1_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine1_cmd_buffer_pipe_ce) begin
sdram_bankmachine1_cmd_buffer_valid_n <= sdram_bankmachine1_cmd_buffer_sink_valid;
end
if (sdram_bankmachine1_cmd_buffer_pipe_ce) begin
sdram_bankmachine1_cmd_buffer_first_n <= (sdram_bankmachine1_cmd_buffer_sink_valid & sdram_bankmachine1_cmd_buffer_sink_first);
sdram_bankmachine1_cmd_buffer_last_n <= (sdram_bankmachine1_cmd_buffer_sink_valid & sdram_bankmachine1_cmd_buffer_sink_last);
end
if (sdram_bankmachine1_cmd_buffer_pipe_ce) begin
sdram_bankmachine1_cmd_buffer_source_payload_we <= sdram_bankmachine1_cmd_buffer_sink_payload_we;
sdram_bankmachine1_cmd_buffer_source_payload_addr <= sdram_bankmachine1_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine1_wait) begin
if ((~sdram_bankmachine1_done)) begin
sdram_bankmachine1_count <= (sdram_bankmachine1_count - 1'd1);
end
end else begin
sdram_bankmachine1_count <= 3'd5;
end
bankmachine1_state <= bankmachine1_next_state;
if (sdram_bankmachine2_track_close) begin
sdram_bankmachine2_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine2_track_open) begin
sdram_bankmachine2_has_openrow <= 1'd1;
sdram_bankmachine2_openrow <= sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine2_cmd_buffer_lookahead_produce <= (sdram_bankmachine2_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine2_cmd_buffer_lookahead_consume <= (sdram_bankmachine2_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine2_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine2_cmd_buffer_lookahead_level <= (sdram_bankmachine2_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine2_cmd_buffer_lookahead_level <= (sdram_bankmachine2_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine2_cmd_buffer_pipe_ce) begin
sdram_bankmachine2_cmd_buffer_valid_n <= sdram_bankmachine2_cmd_buffer_sink_valid;
end
if (sdram_bankmachine2_cmd_buffer_pipe_ce) begin
sdram_bankmachine2_cmd_buffer_first_n <= (sdram_bankmachine2_cmd_buffer_sink_valid & sdram_bankmachine2_cmd_buffer_sink_first);
sdram_bankmachine2_cmd_buffer_last_n <= (sdram_bankmachine2_cmd_buffer_sink_valid & sdram_bankmachine2_cmd_buffer_sink_last);
end
if (sdram_bankmachine2_cmd_buffer_pipe_ce) begin
sdram_bankmachine2_cmd_buffer_source_payload_we <= sdram_bankmachine2_cmd_buffer_sink_payload_we;
sdram_bankmachine2_cmd_buffer_source_payload_addr <= sdram_bankmachine2_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine2_wait) begin
if ((~sdram_bankmachine2_done)) begin
sdram_bankmachine2_count <= (sdram_bankmachine2_count - 1'd1);
end
end else begin
sdram_bankmachine2_count <= 3'd5;
end
bankmachine2_state <= bankmachine2_next_state;
if (sdram_bankmachine3_track_close) begin
sdram_bankmachine3_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine3_track_open) begin
sdram_bankmachine3_has_openrow <= 1'd1;
sdram_bankmachine3_openrow <= sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine3_cmd_buffer_lookahead_produce <= (sdram_bankmachine3_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine3_cmd_buffer_lookahead_consume <= (sdram_bankmachine3_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine3_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine3_cmd_buffer_lookahead_level <= (sdram_bankmachine3_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine3_cmd_buffer_lookahead_level <= (sdram_bankmachine3_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine3_cmd_buffer_pipe_ce) begin
sdram_bankmachine3_cmd_buffer_valid_n <= sdram_bankmachine3_cmd_buffer_sink_valid;
end
if (sdram_bankmachine3_cmd_buffer_pipe_ce) begin
sdram_bankmachine3_cmd_buffer_first_n <= (sdram_bankmachine3_cmd_buffer_sink_valid & sdram_bankmachine3_cmd_buffer_sink_first);
sdram_bankmachine3_cmd_buffer_last_n <= (sdram_bankmachine3_cmd_buffer_sink_valid & sdram_bankmachine3_cmd_buffer_sink_last);
end
if (sdram_bankmachine3_cmd_buffer_pipe_ce) begin
sdram_bankmachine3_cmd_buffer_source_payload_we <= sdram_bankmachine3_cmd_buffer_sink_payload_we;
sdram_bankmachine3_cmd_buffer_source_payload_addr <= sdram_bankmachine3_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine3_wait) begin
if ((~sdram_bankmachine3_done)) begin
sdram_bankmachine3_count <= (sdram_bankmachine3_count - 1'd1);
end
end else begin
sdram_bankmachine3_count <= 3'd5;
end
bankmachine3_state <= bankmachine3_next_state;
if (sdram_bankmachine4_track_close) begin
sdram_bankmachine4_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine4_track_open) begin
sdram_bankmachine4_has_openrow <= 1'd1;
sdram_bankmachine4_openrow <= sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine4_cmd_buffer_lookahead_produce <= (sdram_bankmachine4_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine4_cmd_buffer_lookahead_consume <= (sdram_bankmachine4_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine4_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine4_cmd_buffer_lookahead_level <= (sdram_bankmachine4_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine4_cmd_buffer_lookahead_level <= (sdram_bankmachine4_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine4_cmd_buffer_pipe_ce) begin
sdram_bankmachine4_cmd_buffer_valid_n <= sdram_bankmachine4_cmd_buffer_sink_valid;
end
if (sdram_bankmachine4_cmd_buffer_pipe_ce) begin
sdram_bankmachine4_cmd_buffer_first_n <= (sdram_bankmachine4_cmd_buffer_sink_valid & sdram_bankmachine4_cmd_buffer_sink_first);
sdram_bankmachine4_cmd_buffer_last_n <= (sdram_bankmachine4_cmd_buffer_sink_valid & sdram_bankmachine4_cmd_buffer_sink_last);
end
if (sdram_bankmachine4_cmd_buffer_pipe_ce) begin
sdram_bankmachine4_cmd_buffer_source_payload_we <= sdram_bankmachine4_cmd_buffer_sink_payload_we;
sdram_bankmachine4_cmd_buffer_source_payload_addr <= sdram_bankmachine4_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine4_wait) begin
if ((~sdram_bankmachine4_done)) begin
sdram_bankmachine4_count <= (sdram_bankmachine4_count - 1'd1);
end
end else begin
sdram_bankmachine4_count <= 3'd5;
end
bankmachine4_state <= bankmachine4_next_state;
if (sdram_bankmachine5_track_close) begin
sdram_bankmachine5_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine5_track_open) begin
sdram_bankmachine5_has_openrow <= 1'd1;
sdram_bankmachine5_openrow <= sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine5_cmd_buffer_lookahead_produce <= (sdram_bankmachine5_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine5_cmd_buffer_lookahead_consume <= (sdram_bankmachine5_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine5_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine5_cmd_buffer_lookahead_level <= (sdram_bankmachine5_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine5_cmd_buffer_lookahead_level <= (sdram_bankmachine5_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine5_cmd_buffer_pipe_ce) begin
sdram_bankmachine5_cmd_buffer_valid_n <= sdram_bankmachine5_cmd_buffer_sink_valid;
end
if (sdram_bankmachine5_cmd_buffer_pipe_ce) begin
sdram_bankmachine5_cmd_buffer_first_n <= (sdram_bankmachine5_cmd_buffer_sink_valid & sdram_bankmachine5_cmd_buffer_sink_first);
sdram_bankmachine5_cmd_buffer_last_n <= (sdram_bankmachine5_cmd_buffer_sink_valid & sdram_bankmachine5_cmd_buffer_sink_last);
end
if (sdram_bankmachine5_cmd_buffer_pipe_ce) begin
sdram_bankmachine5_cmd_buffer_source_payload_we <= sdram_bankmachine5_cmd_buffer_sink_payload_we;
sdram_bankmachine5_cmd_buffer_source_payload_addr <= sdram_bankmachine5_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine5_wait) begin
if ((~sdram_bankmachine5_done)) begin
sdram_bankmachine5_count <= (sdram_bankmachine5_count - 1'd1);
end
end else begin
sdram_bankmachine5_count <= 3'd5;
end
bankmachine5_state <= bankmachine5_next_state;
if (sdram_bankmachine6_track_close) begin
sdram_bankmachine6_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine6_track_open) begin
sdram_bankmachine6_has_openrow <= 1'd1;
sdram_bankmachine6_openrow <= sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine6_cmd_buffer_lookahead_produce <= (sdram_bankmachine6_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine6_cmd_buffer_lookahead_consume <= (sdram_bankmachine6_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine6_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine6_cmd_buffer_lookahead_level <= (sdram_bankmachine6_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine6_cmd_buffer_lookahead_level <= (sdram_bankmachine6_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine6_cmd_buffer_pipe_ce) begin
sdram_bankmachine6_cmd_buffer_valid_n <= sdram_bankmachine6_cmd_buffer_sink_valid;
end
if (sdram_bankmachine6_cmd_buffer_pipe_ce) begin
sdram_bankmachine6_cmd_buffer_first_n <= (sdram_bankmachine6_cmd_buffer_sink_valid & sdram_bankmachine6_cmd_buffer_sink_first);
sdram_bankmachine6_cmd_buffer_last_n <= (sdram_bankmachine6_cmd_buffer_sink_valid & sdram_bankmachine6_cmd_buffer_sink_last);
end
if (sdram_bankmachine6_cmd_buffer_pipe_ce) begin
sdram_bankmachine6_cmd_buffer_source_payload_we <= sdram_bankmachine6_cmd_buffer_sink_payload_we;
sdram_bankmachine6_cmd_buffer_source_payload_addr <= sdram_bankmachine6_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine6_wait) begin
if ((~sdram_bankmachine6_done)) begin
sdram_bankmachine6_count <= (sdram_bankmachine6_count - 1'd1);
end
end else begin
sdram_bankmachine6_count <= 3'd5;
end
bankmachine6_state <= bankmachine6_next_state;
if (sdram_bankmachine7_track_close) begin
sdram_bankmachine7_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine7_track_open) begin
sdram_bankmachine7_has_openrow <= 1'd1;
sdram_bankmachine7_openrow <= sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine7_cmd_buffer_lookahead_produce <= (sdram_bankmachine7_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine7_cmd_buffer_lookahead_consume <= (sdram_bankmachine7_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine7_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine7_cmd_buffer_lookahead_level <= (sdram_bankmachine7_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine7_cmd_buffer_lookahead_level <= (sdram_bankmachine7_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine7_cmd_buffer_pipe_ce) begin
sdram_bankmachine7_cmd_buffer_valid_n <= sdram_bankmachine7_cmd_buffer_sink_valid;
end
if (sdram_bankmachine7_cmd_buffer_pipe_ce) begin
sdram_bankmachine7_cmd_buffer_first_n <= (sdram_bankmachine7_cmd_buffer_sink_valid & sdram_bankmachine7_cmd_buffer_sink_first);
sdram_bankmachine7_cmd_buffer_last_n <= (sdram_bankmachine7_cmd_buffer_sink_valid & sdram_bankmachine7_cmd_buffer_sink_last);
end
if (sdram_bankmachine7_cmd_buffer_pipe_ce) begin
sdram_bankmachine7_cmd_buffer_source_payload_we <= sdram_bankmachine7_cmd_buffer_sink_payload_we;
sdram_bankmachine7_cmd_buffer_source_payload_addr <= sdram_bankmachine7_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine7_wait) begin
if ((~sdram_bankmachine7_done)) begin
sdram_bankmachine7_count <= (sdram_bankmachine7_count - 1'd1);
end
end else begin
sdram_bankmachine7_count <= 3'd5;
end
bankmachine7_state <= bankmachine7_next_state;
if ((~sdram_en0)) begin
sdram_time0 <= 5'd31;
end else begin
if ((~sdram_max_time0)) begin
sdram_time0 <= (sdram_time0 - 1'd1);
end
end
if ((~sdram_en1)) begin
sdram_time1 <= 4'd15;
end else begin
if ((~sdram_max_time1)) begin
sdram_time1 <= (sdram_time1 - 1'd1);
end
end
if (sdram_choose_cmd_ce) begin
case (sdram_choose_cmd_grant)
1'd0: begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end
end
end
end
end
end
end
end
1'd1: begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end
end
end
end
end
end
end
end
2'd2: begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end
end
end
end
end
end
end
end
2'd3: begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end
end
end
end
end
end
end
end
3'd4: begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end
end
end
end
end
end
end
end
3'd5: begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end
end
end
end
end
end
end
end
3'd6: begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end
end
end
end
end
end
end
end
3'd7: begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end
end
end
end
end
end
end
end
endcase
end
if (sdram_choose_req_ce) begin
case (sdram_choose_req_grant)
1'd0: begin
if (sdram_choose_req_request[1]) begin
sdram_choose_req_grant <= 1'd1;
end else begin
if (sdram_choose_req_request[2]) begin
sdram_choose_req_grant <= 2'd2;
end else begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end else begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end
end
end
end
end
end
end
end
1'd1: begin
if (sdram_choose_req_request[2]) begin
sdram_choose_req_grant <= 2'd2;
end else begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end else begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end else begin
if (sdram_choose_req_request[0]) begin
sdram_choose_req_grant <= 1'd0;
end
end
end
end
end
end
end
end
2'd2: begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end else begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end else begin
if (sdram_choose_req_request[0]) begin
sdram_choose_req_grant <= 1'd0;
end else begin
if (sdram_choose_req_request[1]) begin
sdram_choose_req_grant <= 1'd1;
end
end
end
end
end
end
end
end
2'd3: begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end else begin
if (sdram_choose_req_request[0]) begin
sdram_choose_req_grant <= 1'd0;
end else begin
if (sdram_choose_req_request[1]) begin
sdram_choose_req_grant <= 1'd1;
end else begin
if (sdram_choose_req_request[2]) begin
sdram_choose_req_grant <= 2'd2;
end
end
end
end
end
end
end
end
3'd4: begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end else begin
if (sdram_choose_req_request[0]) begin
sdram_choose_req_grant <= 1'd0;
end else begin
if (sdram_choose_req_request[1]) begin
sdram_choose_req_grant <= 1'd1;
end else begin
if (sdram_choose_req_request[2]) begin
sdram_choose_req_grant <= 2'd2;
end else begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end
end
end
end
end
end
end
end
3'd5: begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end else begin
if (sdram_choose_req_request[0]) begin
sdram_choose_req_grant <= 1'd0;
end else begin
if (sdram_choose_req_request[1]) begin
sdram_choose_req_grant <= 1'd1;
end else begin
if (sdram_choose_req_request[2]) begin
sdram_choose_req_grant <= 2'd2;
end else begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end else begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end
end
end
end
end
end
end
end
3'd6: begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end else begin
if (sdram_choose_req_request[0]) begin
sdram_choose_req_grant <= 1'd0;
end else begin
if (sdram_choose_req_request[1]) begin
sdram_choose_req_grant <= 1'd1;
end else begin
if (sdram_choose_req_request[2]) begin
sdram_choose_req_grant <= 2'd2;
end else begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end else begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end
end
end
end
end
end
end
end
3'd7: begin
if (sdram_choose_req_request[0]) begin
sdram_choose_req_grant <= 1'd0;
end else begin
if (sdram_choose_req_request[1]) begin
sdram_choose_req_grant <= 1'd1;
end else begin
if (sdram_choose_req_request[2]) begin
sdram_choose_req_grant <= 2'd2;
end else begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end else begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end
end
end
end
end
end
end
end
endcase
end
sdram_dfi_p0_cs_n <= 1'd0;
sdram_dfi_p0_bank <= array_muxed0;
sdram_dfi_p0_address <= array_muxed1;
sdram_dfi_p0_cas_n <= (~array_muxed2);
sdram_dfi_p0_ras_n <= (~array_muxed3);
sdram_dfi_p0_we_n <= (~array_muxed4);
sdram_dfi_p0_rddata_en <= array_muxed5;
sdram_dfi_p0_wrdata_en <= array_muxed6;
sdram_dfi_p1_cs_n <= 1'd0;
sdram_dfi_p1_bank <= array_muxed7;
sdram_dfi_p1_address <= array_muxed8;
sdram_dfi_p1_cas_n <= (~array_muxed9);
sdram_dfi_p1_ras_n <= (~array_muxed10);
sdram_dfi_p1_we_n <= (~array_muxed11);
sdram_dfi_p1_rddata_en <= array_muxed12;
sdram_dfi_p1_wrdata_en <= array_muxed13;
sdram_dfi_p2_cs_n <= 1'd0;
sdram_dfi_p2_bank <= array_muxed14;
sdram_dfi_p2_address <= array_muxed15;
sdram_dfi_p2_cas_n <= (~array_muxed16);
sdram_dfi_p2_ras_n <= (~array_muxed17);
sdram_dfi_p2_we_n <= (~array_muxed18);
sdram_dfi_p2_rddata_en <= array_muxed19;
sdram_dfi_p2_wrdata_en <= array_muxed20;
sdram_dfi_p3_cs_n <= 1'd0;
sdram_dfi_p3_bank <= array_muxed21;
sdram_dfi_p3_address <= array_muxed22;
sdram_dfi_p3_cas_n <= (~array_muxed23);
sdram_dfi_p3_ras_n <= (~array_muxed24);
sdram_dfi_p3_we_n <= (~array_muxed25);
sdram_dfi_p3_rddata_en <= array_muxed26;
sdram_dfi_p3_wrdata_en <= array_muxed27;
if (sdram_trrdcon_valid) begin
sdram_trrdcon_count <= 1'd1;
if (1'd1) begin
sdram_trrdcon_ready <= 1'd1;
end else begin
sdram_trrdcon_ready <= 1'd0;
end
end else begin
if ((~sdram_trrdcon_ready)) begin
sdram_trrdcon_count <= (sdram_trrdcon_count - 1'd1);
if ((sdram_trrdcon_count == 1'd1)) begin
sdram_trrdcon_ready <= 1'd1;
end
end
end
sdram_tfawcon_window <= {sdram_tfawcon_window, sdram_tfawcon_valid};
if ((sdram_tfawcon_count < 3'd4)) begin
if ((sdram_tfawcon_count == 2'd3)) begin
sdram_tfawcon_ready <= (~sdram_tfawcon_valid);
end else begin
sdram_tfawcon_ready <= 1'd1;
end
end
if (sdram_tccdcon_valid) begin
sdram_tccdcon_count <= 1'd1;
if (1'd1) begin
sdram_tccdcon_ready <= 1'd1;
end else begin
sdram_tccdcon_ready <= 1'd0;
end
end else begin
if ((~sdram_tccdcon_ready)) begin
sdram_tccdcon_count <= (sdram_tccdcon_count - 1'd1);
if ((sdram_tccdcon_count == 1'd1)) begin
sdram_tccdcon_ready <= 1'd1;
end
end
end
if (sdram_twtrcon_valid) begin
sdram_twtrcon_count <= 2'd3;
if (1'd0) begin
sdram_twtrcon_ready <= 1'd1;
end else begin
sdram_twtrcon_ready <= 1'd0;
end
end else begin
if ((~sdram_twtrcon_ready)) begin
sdram_twtrcon_count <= (sdram_twtrcon_count - 1'd1);
if ((sdram_twtrcon_count == 1'd1)) begin
sdram_twtrcon_ready <= 1'd1;
end
end
end
multiplexer_state <= multiplexer_next_state;
if (((roundrobin0_grant == 1'd0) & sdram_interface_bank0_rdata_valid)) begin
rbank <= 1'd0;
end
if (((roundrobin0_grant == 1'd0) & sdram_interface_bank0_wdata_ready)) begin
wbank <= 1'd0;
end
if (((roundrobin1_grant == 1'd0) & sdram_interface_bank1_rdata_valid)) begin
rbank <= 1'd1;
end
if (((roundrobin1_grant == 1'd0) & sdram_interface_bank1_wdata_ready)) begin
wbank <= 1'd1;
end
if (((roundrobin2_grant == 1'd0) & sdram_interface_bank2_rdata_valid)) begin
rbank <= 2'd2;
end
if (((roundrobin2_grant == 1'd0) & sdram_interface_bank2_wdata_ready)) begin
wbank <= 2'd2;
end
if (((roundrobin3_grant == 1'd0) & sdram_interface_bank3_rdata_valid)) begin
rbank <= 2'd3;
end
if (((roundrobin3_grant == 1'd0) & sdram_interface_bank3_wdata_ready)) begin
wbank <= 2'd3;
end
if (((roundrobin4_grant == 1'd0) & sdram_interface_bank4_rdata_valid)) begin
rbank <= 3'd4;
end
if (((roundrobin4_grant == 1'd0) & sdram_interface_bank4_wdata_ready)) begin
wbank <= 3'd4;
end
if (((roundrobin5_grant == 1'd0) & sdram_interface_bank5_rdata_valid)) begin
rbank <= 3'd5;
end
if (((roundrobin5_grant == 1'd0) & sdram_interface_bank5_wdata_ready)) begin
wbank <= 3'd5;
end
if (((roundrobin6_grant == 1'd0) & sdram_interface_bank6_rdata_valid)) begin
rbank <= 3'd6;
end
if (((roundrobin6_grant == 1'd0) & sdram_interface_bank6_wdata_ready)) begin
wbank <= 3'd6;
end
if (((roundrobin7_grant == 1'd0) & sdram_interface_bank7_rdata_valid)) begin
rbank <= 3'd7;
end
if (((roundrobin7_grant == 1'd0) & sdram_interface_bank7_wdata_ready)) begin
wbank <= 3'd7;
end
new_master_wdata_ready0 <= ((((((((1'd0 | ((roundrobin0_grant == 1'd0) & sdram_interface_bank0_wdata_ready)) | ((roundrobin1_grant == 1'd0) & sdram_interface_bank1_wdata_ready)) | ((roundrobin2_grant == 1'd0) & sdram_interface_bank2_wdata_ready)) | ((roundrobin3_grant == 1'd0) & sdram_interface_bank3_wdata_ready)) | ((roundrobin4_grant == 1'd0) & sdram_interface_bank4_wdata_ready)) | ((roundrobin5_grant == 1'd0) & sdram_interface_bank5_wdata_ready)) | ((roundrobin6_grant == 1'd0) & sdram_interface_bank6_wdata_ready)) | ((roundrobin7_grant == 1'd0) & sdram_interface_bank7_wdata_ready));
new_master_wdata_ready1 <= new_master_wdata_ready0;
new_master_wdata_ready2 <= new_master_wdata_ready1;
new_master_rdata_valid0 <= ((((((((1'd0 | ((roundrobin0_grant == 1'd0) & sdram_interface_bank0_rdata_valid)) | ((roundrobin1_grant == 1'd0) & sdram_interface_bank1_rdata_valid)) | ((roundrobin2_grant == 1'd0) & sdram_interface_bank2_rdata_valid)) | ((roundrobin3_grant == 1'd0) & sdram_interface_bank3_rdata_valid)) | ((roundrobin4_grant == 1'd0) & sdram_interface_bank4_rdata_valid)) | ((roundrobin5_grant == 1'd0) & sdram_interface_bank5_rdata_valid)) | ((roundrobin6_grant == 1'd0) & sdram_interface_bank6_rdata_valid)) | ((roundrobin7_grant == 1'd0) & sdram_interface_bank7_rdata_valid));
new_master_rdata_valid1 <= new_master_rdata_valid0;
new_master_rdata_valid2 <= new_master_rdata_valid1;
new_master_rdata_valid3 <= new_master_rdata_valid2;
new_master_rdata_valid4 <= new_master_rdata_valid3;
new_master_rdata_valid5 <= new_master_rdata_valid4;
new_master_rdata_valid6 <= new_master_rdata_valid5;
new_master_rbank0 <= rbank;
new_master_rbank1 <= new_master_rbank0;
new_master_rbank2 <= new_master_rbank1;
new_master_rbank3 <= new_master_rbank2;
new_master_rbank4 <= new_master_rbank3;
new_master_rbank5 <= new_master_rbank4;
new_master_wbank0 <= wbank;
new_master_wbank1 <= new_master_wbank0;
adr_offset_r <= interface0_wb_sdram_adr[1:0];
fullmemorywe_state <= fullmemorywe_next_state;
litedramwishbone2native_state <= litedramwishbone2native_next_state;
case (basesoc_grant)
1'd0: begin
if ((~basesoc_request[0])) begin
if (basesoc_request[1]) begin
basesoc_grant <= 1'd1;
end
end
end
1'd1: begin
if ((~basesoc_request[1])) begin
if (basesoc_request[0]) begin
basesoc_grant <= 1'd0;
end
end
end
endcase
basesoc_slave_sel_r <= basesoc_slave_sel;
if (basesoc_wait) begin
if ((~basesoc_done)) begin
basesoc_count <= (basesoc_count - 1'd1);
end
end else begin
basesoc_count <= 17'd65536;
end
basesoc_interface0_bank_bus_dat_r <= 1'd0;
if (basesoc_csrbank0_sel) begin
case (basesoc_interface0_bank_bus_adr[3:0])
1'd0: begin
basesoc_interface0_bank_bus_dat_r <= basesoc_ctrl_reset_reset_w;
end
1'd1: begin
basesoc_interface0_bank_bus_dat_r <= basesoc_csrbank0_scratch3_w;
end
2'd2: begin
basesoc_interface0_bank_bus_dat_r <= basesoc_csrbank0_scratch2_w;
end
2'd3: begin
basesoc_interface0_bank_bus_dat_r <= basesoc_csrbank0_scratch1_w;
end
3'd4: begin
basesoc_interface0_bank_bus_dat_r <= basesoc_csrbank0_scratch0_w;
end
3'd5: begin
basesoc_interface0_bank_bus_dat_r <= basesoc_csrbank0_bus_errors3_w;
end
3'd6: begin
basesoc_interface0_bank_bus_dat_r <= basesoc_csrbank0_bus_errors2_w;
end
3'd7: begin
basesoc_interface0_bank_bus_dat_r <= basesoc_csrbank0_bus_errors1_w;
end
4'd8: begin
basesoc_interface0_bank_bus_dat_r <= basesoc_csrbank0_bus_errors0_w;
end
endcase
end
if (basesoc_csrbank0_scratch3_re) begin
basesoc_ctrl_storage_full[31:24] <= basesoc_csrbank0_scratch3_r;
end
if (basesoc_csrbank0_scratch2_re) begin
basesoc_ctrl_storage_full[23:16] <= basesoc_csrbank0_scratch2_r;
end
if (basesoc_csrbank0_scratch1_re) begin
basesoc_ctrl_storage_full[15:8] <= basesoc_csrbank0_scratch1_r;
end
if (basesoc_csrbank0_scratch0_re) begin
basesoc_ctrl_storage_full[7:0] <= basesoc_csrbank0_scratch0_r;
end
basesoc_ctrl_re <= basesoc_csrbank0_scratch0_re;
basesoc_interface1_bank_bus_dat_r <= 1'd0;
if (basesoc_csrbank1_sel) begin
case (basesoc_interface1_bank_bus_adr[2:0])
1'd0: begin
basesoc_interface1_bank_bus_dat_r <= basesoc_csrbank1_half_sys8x_taps0_w;
end
1'd1: begin
basesoc_interface1_bank_bus_dat_r <= basesoc_csrbank1_dly_sel0_w;
end
2'd2: begin
basesoc_interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_rst_w;
end
2'd3: begin
basesoc_interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_inc_w;
end
3'd4: begin
basesoc_interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_rst_w;
end
3'd5: begin
basesoc_interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_w;
end
endcase
end
if (basesoc_csrbank1_half_sys8x_taps0_re) begin
a7ddrphy_half_sys8x_taps_storage_full[3:0] <= basesoc_csrbank1_half_sys8x_taps0_r;
end
a7ddrphy_half_sys8x_taps_re <= basesoc_csrbank1_half_sys8x_taps0_re;
if (basesoc_csrbank1_dly_sel0_re) begin
a7ddrphy_dly_sel_storage_full[1:0] <= basesoc_csrbank1_dly_sel0_r;
end
a7ddrphy_dly_sel_re <= basesoc_csrbank1_dly_sel0_re;
basesoc_interface2_bank_bus_dat_r <= 1'd0;
if (basesoc_csrbank2_sel) begin
case (basesoc_interface2_bank_bus_adr[5:0])
1'd0: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_control0_w;
end
1'd1: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_command0_w;
end
2'd2: begin
basesoc_interface2_bank_bus_dat_r <= sdram_phaseinjector0_command_issue_w;
end
2'd3: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_address1_w;
end
3'd4: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_address0_w;
end
3'd5: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_baddress0_w;
end
3'd6: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_wrdata3_w;
end
3'd7: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_wrdata2_w;
end
4'd8: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_wrdata1_w;
end
4'd9: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_wrdata0_w;
end
4'd10: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_rddata3_w;
end
4'd11: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_rddata2_w;
end
4'd12: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_rddata1_w;
end
4'd13: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi0_rddata0_w;
end
4'd14: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_command0_w;
end
4'd15: begin
basesoc_interface2_bank_bus_dat_r <= sdram_phaseinjector1_command_issue_w;
end
5'd16: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_address1_w;
end
5'd17: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_address0_w;
end
5'd18: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_baddress0_w;
end
5'd19: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_wrdata3_w;
end
5'd20: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_wrdata2_w;
end
5'd21: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_wrdata1_w;
end
5'd22: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_wrdata0_w;
end
5'd23: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_rddata3_w;
end
5'd24: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_rddata2_w;
end
5'd25: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_rddata1_w;
end
5'd26: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi1_rddata0_w;
end
5'd27: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_command0_w;
end
5'd28: begin
basesoc_interface2_bank_bus_dat_r <= sdram_phaseinjector2_command_issue_w;
end
5'd29: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_address1_w;
end
5'd30: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_address0_w;
end
5'd31: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_baddress0_w;
end
6'd32: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_wrdata3_w;
end
6'd33: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_wrdata2_w;
end
6'd34: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_wrdata1_w;
end
6'd35: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_wrdata0_w;
end
6'd36: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_rddata3_w;
end
6'd37: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_rddata2_w;
end
6'd38: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_rddata1_w;
end
6'd39: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi2_rddata0_w;
end
6'd40: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_command0_w;
end
6'd41: begin
basesoc_interface2_bank_bus_dat_r <= sdram_phaseinjector3_command_issue_w;
end
6'd42: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_address1_w;
end
6'd43: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_address0_w;
end
6'd44: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_baddress0_w;
end
6'd45: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_wrdata3_w;
end
6'd46: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_wrdata2_w;
end
6'd47: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_wrdata1_w;
end
6'd48: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_wrdata0_w;
end
6'd49: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_rddata3_w;
end
6'd50: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_rddata2_w;
end
6'd51: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_rddata1_w;
end
6'd52: begin
basesoc_interface2_bank_bus_dat_r <= basesoc_csrbank2_dfii_pi3_rddata0_w;
end
endcase
end
if (basesoc_csrbank2_dfii_control0_re) begin
sdram_storage_full[3:0] <= basesoc_csrbank2_dfii_control0_r;
end
sdram_re <= basesoc_csrbank2_dfii_control0_re;
if (basesoc_csrbank2_dfii_pi0_command0_re) begin
sdram_phaseinjector0_command_storage_full[5:0] <= basesoc_csrbank2_dfii_pi0_command0_r;
end
sdram_phaseinjector0_command_re <= basesoc_csrbank2_dfii_pi0_command0_re;
if (basesoc_csrbank2_dfii_pi0_address1_re) begin
sdram_phaseinjector0_address_storage_full[13:8] <= basesoc_csrbank2_dfii_pi0_address1_r;
end
if (basesoc_csrbank2_dfii_pi0_address0_re) begin
sdram_phaseinjector0_address_storage_full[7:0] <= basesoc_csrbank2_dfii_pi0_address0_r;
end
sdram_phaseinjector0_address_re <= basesoc_csrbank2_dfii_pi0_address0_re;
if (basesoc_csrbank2_dfii_pi0_baddress0_re) begin
sdram_phaseinjector0_baddress_storage_full[2:0] <= basesoc_csrbank2_dfii_pi0_baddress0_r;
end
sdram_phaseinjector0_baddress_re <= basesoc_csrbank2_dfii_pi0_baddress0_re;
if (basesoc_csrbank2_dfii_pi0_wrdata3_re) begin
sdram_phaseinjector0_wrdata_storage_full[31:24] <= basesoc_csrbank2_dfii_pi0_wrdata3_r;
end
if (basesoc_csrbank2_dfii_pi0_wrdata2_re) begin
sdram_phaseinjector0_wrdata_storage_full[23:16] <= basesoc_csrbank2_dfii_pi0_wrdata2_r;
end
if (basesoc_csrbank2_dfii_pi0_wrdata1_re) begin
sdram_phaseinjector0_wrdata_storage_full[15:8] <= basesoc_csrbank2_dfii_pi0_wrdata1_r;
end
if (basesoc_csrbank2_dfii_pi0_wrdata0_re) begin
sdram_phaseinjector0_wrdata_storage_full[7:0] <= basesoc_csrbank2_dfii_pi0_wrdata0_r;
end
sdram_phaseinjector0_wrdata_re <= basesoc_csrbank2_dfii_pi0_wrdata0_re;
if (basesoc_csrbank2_dfii_pi1_command0_re) begin
sdram_phaseinjector1_command_storage_full[5:0] <= basesoc_csrbank2_dfii_pi1_command0_r;
end
sdram_phaseinjector1_command_re <= basesoc_csrbank2_dfii_pi1_command0_re;
if (basesoc_csrbank2_dfii_pi1_address1_re) begin
sdram_phaseinjector1_address_storage_full[13:8] <= basesoc_csrbank2_dfii_pi1_address1_r;
end
if (basesoc_csrbank2_dfii_pi1_address0_re) begin
sdram_phaseinjector1_address_storage_full[7:0] <= basesoc_csrbank2_dfii_pi1_address0_r;
end
sdram_phaseinjector1_address_re <= basesoc_csrbank2_dfii_pi1_address0_re;
if (basesoc_csrbank2_dfii_pi1_baddress0_re) begin
sdram_phaseinjector1_baddress_storage_full[2:0] <= basesoc_csrbank2_dfii_pi1_baddress0_r;
end
sdram_phaseinjector1_baddress_re <= basesoc_csrbank2_dfii_pi1_baddress0_re;
if (basesoc_csrbank2_dfii_pi1_wrdata3_re) begin
sdram_phaseinjector1_wrdata_storage_full[31:24] <= basesoc_csrbank2_dfii_pi1_wrdata3_r;
end
if (basesoc_csrbank2_dfii_pi1_wrdata2_re) begin
sdram_phaseinjector1_wrdata_storage_full[23:16] <= basesoc_csrbank2_dfii_pi1_wrdata2_r;
end
if (basesoc_csrbank2_dfii_pi1_wrdata1_re) begin
sdram_phaseinjector1_wrdata_storage_full[15:8] <= basesoc_csrbank2_dfii_pi1_wrdata1_r;
end
if (basesoc_csrbank2_dfii_pi1_wrdata0_re) begin
sdram_phaseinjector1_wrdata_storage_full[7:0] <= basesoc_csrbank2_dfii_pi1_wrdata0_r;
end
sdram_phaseinjector1_wrdata_re <= basesoc_csrbank2_dfii_pi1_wrdata0_re;
if (basesoc_csrbank2_dfii_pi2_command0_re) begin
sdram_phaseinjector2_command_storage_full[5:0] <= basesoc_csrbank2_dfii_pi2_command0_r;
end
sdram_phaseinjector2_command_re <= basesoc_csrbank2_dfii_pi2_command0_re;
if (basesoc_csrbank2_dfii_pi2_address1_re) begin
sdram_phaseinjector2_address_storage_full[13:8] <= basesoc_csrbank2_dfii_pi2_address1_r;
end
if (basesoc_csrbank2_dfii_pi2_address0_re) begin
sdram_phaseinjector2_address_storage_full[7:0] <= basesoc_csrbank2_dfii_pi2_address0_r;
end
sdram_phaseinjector2_address_re <= basesoc_csrbank2_dfii_pi2_address0_re;
if (basesoc_csrbank2_dfii_pi2_baddress0_re) begin
sdram_phaseinjector2_baddress_storage_full[2:0] <= basesoc_csrbank2_dfii_pi2_baddress0_r;
end
sdram_phaseinjector2_baddress_re <= basesoc_csrbank2_dfii_pi2_baddress0_re;
if (basesoc_csrbank2_dfii_pi2_wrdata3_re) begin
sdram_phaseinjector2_wrdata_storage_full[31:24] <= basesoc_csrbank2_dfii_pi2_wrdata3_r;
end
if (basesoc_csrbank2_dfii_pi2_wrdata2_re) begin
sdram_phaseinjector2_wrdata_storage_full[23:16] <= basesoc_csrbank2_dfii_pi2_wrdata2_r;
end
if (basesoc_csrbank2_dfii_pi2_wrdata1_re) begin
sdram_phaseinjector2_wrdata_storage_full[15:8] <= basesoc_csrbank2_dfii_pi2_wrdata1_r;
end
if (basesoc_csrbank2_dfii_pi2_wrdata0_re) begin
sdram_phaseinjector2_wrdata_storage_full[7:0] <= basesoc_csrbank2_dfii_pi2_wrdata0_r;
end
sdram_phaseinjector2_wrdata_re <= basesoc_csrbank2_dfii_pi2_wrdata0_re;
if (basesoc_csrbank2_dfii_pi3_command0_re) begin
sdram_phaseinjector3_command_storage_full[5:0] <= basesoc_csrbank2_dfii_pi3_command0_r;
end
sdram_phaseinjector3_command_re <= basesoc_csrbank2_dfii_pi3_command0_re;
if (basesoc_csrbank2_dfii_pi3_address1_re) begin
sdram_phaseinjector3_address_storage_full[13:8] <= basesoc_csrbank2_dfii_pi3_address1_r;
end
if (basesoc_csrbank2_dfii_pi3_address0_re) begin
sdram_phaseinjector3_address_storage_full[7:0] <= basesoc_csrbank2_dfii_pi3_address0_r;
end
sdram_phaseinjector3_address_re <= basesoc_csrbank2_dfii_pi3_address0_re;
if (basesoc_csrbank2_dfii_pi3_baddress0_re) begin
sdram_phaseinjector3_baddress_storage_full[2:0] <= basesoc_csrbank2_dfii_pi3_baddress0_r;
end
sdram_phaseinjector3_baddress_re <= basesoc_csrbank2_dfii_pi3_baddress0_re;
if (basesoc_csrbank2_dfii_pi3_wrdata3_re) begin
sdram_phaseinjector3_wrdata_storage_full[31:24] <= basesoc_csrbank2_dfii_pi3_wrdata3_r;
end
if (basesoc_csrbank2_dfii_pi3_wrdata2_re) begin
sdram_phaseinjector3_wrdata_storage_full[23:16] <= basesoc_csrbank2_dfii_pi3_wrdata2_r;
end
if (basesoc_csrbank2_dfii_pi3_wrdata1_re) begin
sdram_phaseinjector3_wrdata_storage_full[15:8] <= basesoc_csrbank2_dfii_pi3_wrdata1_r;
end
if (basesoc_csrbank2_dfii_pi3_wrdata0_re) begin
sdram_phaseinjector3_wrdata_storage_full[7:0] <= basesoc_csrbank2_dfii_pi3_wrdata0_r;
end
sdram_phaseinjector3_wrdata_re <= basesoc_csrbank2_dfii_pi3_wrdata0_re;
basesoc_interface3_bank_bus_dat_r <= 1'd0;
if (basesoc_csrbank3_sel) begin
case (basesoc_interface3_bank_bus_adr[4:0])
1'd0: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_load3_w;
end
1'd1: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_load2_w;
end
2'd2: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_load1_w;
end
2'd3: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_load0_w;
end
3'd4: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_reload3_w;
end
3'd5: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_reload2_w;
end
3'd6: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_reload1_w;
end
3'd7: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_reload0_w;
end
4'd8: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_en0_w;
end
4'd9: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_timer0_update_value_w;
end
4'd10: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_value3_w;
end
4'd11: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_value2_w;
end
4'd12: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_value1_w;
end
4'd13: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_value0_w;
end
4'd14: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_timer0_eventmanager_status_w;
end
4'd15: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_timer0_eventmanager_pending_w;
end
5'd16: begin
basesoc_interface3_bank_bus_dat_r <= basesoc_csrbank3_ev_enable0_w;
end
endcase
end
if (basesoc_csrbank3_load3_re) begin
basesoc_timer0_load_storage_full[31:24] <= basesoc_csrbank3_load3_r;
end
if (basesoc_csrbank3_load2_re) begin
basesoc_timer0_load_storage_full[23:16] <= basesoc_csrbank3_load2_r;
end
if (basesoc_csrbank3_load1_re) begin
basesoc_timer0_load_storage_full[15:8] <= basesoc_csrbank3_load1_r;
end
if (basesoc_csrbank3_load0_re) begin
basesoc_timer0_load_storage_full[7:0] <= basesoc_csrbank3_load0_r;
end
basesoc_timer0_load_re <= basesoc_csrbank3_load0_re;
if (basesoc_csrbank3_reload3_re) begin
basesoc_timer0_reload_storage_full[31:24] <= basesoc_csrbank3_reload3_r;
end
if (basesoc_csrbank3_reload2_re) begin
basesoc_timer0_reload_storage_full[23:16] <= basesoc_csrbank3_reload2_r;
end
if (basesoc_csrbank3_reload1_re) begin
basesoc_timer0_reload_storage_full[15:8] <= basesoc_csrbank3_reload1_r;
end
if (basesoc_csrbank3_reload0_re) begin
basesoc_timer0_reload_storage_full[7:0] <= basesoc_csrbank3_reload0_r;
end
basesoc_timer0_reload_re <= basesoc_csrbank3_reload0_re;
if (basesoc_csrbank3_en0_re) begin
basesoc_timer0_en_storage_full <= basesoc_csrbank3_en0_r;
end
basesoc_timer0_en_re <= basesoc_csrbank3_en0_re;
if (basesoc_csrbank3_ev_enable0_re) begin
basesoc_timer0_eventmanager_storage_full <= basesoc_csrbank3_ev_enable0_r;
end
basesoc_timer0_eventmanager_re <= basesoc_csrbank3_ev_enable0_re;
basesoc_interface4_bank_bus_dat_r <= 1'd0;
if (basesoc_csrbank4_sel) begin
case (basesoc_interface4_bank_bus_adr[2:0])
1'd0: begin
basesoc_interface4_bank_bus_dat_r <= basesoc_uart_rxtx_w;
end
1'd1: begin
basesoc_interface4_bank_bus_dat_r <= basesoc_csrbank4_txfull_w;
end
2'd2: begin
basesoc_interface4_bank_bus_dat_r <= basesoc_csrbank4_rxempty_w;
end
2'd3: begin
basesoc_interface4_bank_bus_dat_r <= basesoc_uart_status_w;
end
3'd4: begin
basesoc_interface4_bank_bus_dat_r <= basesoc_uart_pending_w;
end
3'd5: begin
basesoc_interface4_bank_bus_dat_r <= basesoc_csrbank4_ev_enable0_w;
end
endcase
end
if (basesoc_csrbank4_ev_enable0_re) begin
basesoc_uart_storage_full[1:0] <= basesoc_csrbank4_ev_enable0_r;
end
basesoc_uart_re <= basesoc_csrbank4_ev_enable0_re;
basesoc_interface5_bank_bus_dat_r <= 1'd0;
if (basesoc_csrbank5_sel) begin
case (basesoc_interface5_bank_bus_adr[1:0])
1'd0: begin
basesoc_interface5_bank_bus_dat_r <= basesoc_csrbank5_tuning_word3_w;
end
1'd1: begin
basesoc_interface5_bank_bus_dat_r <= basesoc_csrbank5_tuning_word2_w;
end
2'd2: begin
basesoc_interface5_bank_bus_dat_r <= basesoc_csrbank5_tuning_word1_w;
end
2'd3: begin
basesoc_interface5_bank_bus_dat_r <= basesoc_csrbank5_tuning_word0_w;
end
endcase
end
if (basesoc_csrbank5_tuning_word3_re) begin
basesoc_uart_phy_storage_full[31:24] <= basesoc_csrbank5_tuning_word3_r;
end
if (basesoc_csrbank5_tuning_word2_re) begin
basesoc_uart_phy_storage_full[23:16] <= basesoc_csrbank5_tuning_word2_r;
end
if (basesoc_csrbank5_tuning_word1_re) begin
basesoc_uart_phy_storage_full[15:8] <= basesoc_csrbank5_tuning_word1_r;
end
if (basesoc_csrbank5_tuning_word0_re) begin
basesoc_uart_phy_storage_full[7:0] <= basesoc_csrbank5_tuning_word0_r;
end
basesoc_uart_phy_re <= basesoc_csrbank5_tuning_word0_re;
if (sys_rst) begin
basesoc_ctrl_storage_full <= 32'd305419896;
basesoc_ctrl_re <= 1'd0;
basesoc_ctrl_bus_errors <= 32'd0;
basesoc_rom_bus_ack <= 1'd0;
basesoc_sram_bus_ack <= 1'd0;
basesoc_interface_adr <= 14'd0;
basesoc_interface_we <= 1'd0;
basesoc_interface_dat_w <= 8'd0;
basesoc_bus_wishbone_dat_r <= 32'd0;
basesoc_bus_wishbone_ack <= 1'd0;
basesoc_counter <= 2'd0;
serial_tx <= 1'd1;
basesoc_uart_phy_storage_full <= 32'd4947802;
basesoc_uart_phy_re <= 1'd0;
basesoc_uart_phy_sink_ready <= 1'd0;
basesoc_uart_phy_uart_clk_txen <= 1'd0;
basesoc_uart_phy_phase_accumulator_tx <= 32'd0;
basesoc_uart_phy_tx_reg <= 8'd0;
basesoc_uart_phy_tx_bitcount <= 4'd0;
basesoc_uart_phy_tx_busy <= 1'd0;
basesoc_uart_phy_source_valid <= 1'd0;
basesoc_uart_phy_source_payload_data <= 8'd0;
basesoc_uart_phy_uart_clk_rxen <= 1'd0;
basesoc_uart_phy_phase_accumulator_rx <= 32'd0;
basesoc_uart_phy_rx_r <= 1'd0;
basesoc_uart_phy_rx_reg <= 8'd0;
basesoc_uart_phy_rx_bitcount <= 4'd0;
basesoc_uart_phy_rx_busy <= 1'd0;
basesoc_uart_tx_pending <= 1'd0;
basesoc_uart_tx_old_trigger <= 1'd0;
basesoc_uart_rx_pending <= 1'd0;
basesoc_uart_rx_old_trigger <= 1'd0;
basesoc_uart_storage_full <= 2'd0;
basesoc_uart_re <= 1'd0;
basesoc_uart_tx_fifo_level <= 5'd0;
basesoc_uart_tx_fifo_produce <= 4'd0;
basesoc_uart_tx_fifo_consume <= 4'd0;
basesoc_uart_rx_fifo_level <= 5'd0;
basesoc_uart_rx_fifo_produce <= 4'd0;
basesoc_uart_rx_fifo_consume <= 4'd0;
basesoc_timer0_load_storage_full <= 32'd0;
basesoc_timer0_load_re <= 1'd0;
basesoc_timer0_reload_storage_full <= 32'd0;
basesoc_timer0_reload_re <= 1'd0;
basesoc_timer0_en_storage_full <= 1'd0;
basesoc_timer0_en_re <= 1'd0;
basesoc_timer0_value_status <= 32'd0;
basesoc_timer0_zero_pending <= 1'd0;
basesoc_timer0_zero_old_trigger <= 1'd0;
basesoc_timer0_eventmanager_storage_full <= 1'd0;
basesoc_timer0_eventmanager_re <= 1'd0;
basesoc_timer0_value <= 32'd0;
a7ddrphy_half_sys8x_taps_storage_full <= 4'd8;
a7ddrphy_half_sys8x_taps_re <= 1'd0;
a7ddrphy_dly_sel_storage_full <= 2'd0;
a7ddrphy_dly_sel_re <= 1'd0;
a7ddrphy_dfi_p0_rddata_valid <= 1'd0;
a7ddrphy_dfi_p1_rddata_valid <= 1'd0;
a7ddrphy_dfi_p2_rddata_valid <= 1'd0;
a7ddrphy_dfi_p3_rddata_valid <= 1'd0;
a7ddrphy_oe_dqs <= 1'd0;
a7ddrphy_oe_dq <= 1'd0;
a7ddrphy_n_rddata_en0 <= 1'd0;
a7ddrphy_n_rddata_en1 <= 1'd0;
a7ddrphy_n_rddata_en2 <= 1'd0;
a7ddrphy_n_rddata_en3 <= 1'd0;
a7ddrphy_n_rddata_en4 <= 1'd0;
a7ddrphy_last_wrdata_en <= 4'd0;
sdram_storage_full <= 4'd0;
sdram_re <= 1'd0;
sdram_phaseinjector0_command_storage_full <= 6'd0;
sdram_phaseinjector0_command_re <= 1'd0;
sdram_phaseinjector0_address_storage_full <= 14'd0;
sdram_phaseinjector0_address_re <= 1'd0;
sdram_phaseinjector0_baddress_storage_full <= 3'd0;
sdram_phaseinjector0_baddress_re <= 1'd0;
sdram_phaseinjector0_wrdata_storage_full <= 32'd0;
sdram_phaseinjector0_wrdata_re <= 1'd0;
sdram_phaseinjector0_status <= 32'd0;
sdram_phaseinjector1_command_storage_full <= 6'd0;
sdram_phaseinjector1_command_re <= 1'd0;
sdram_phaseinjector1_address_storage_full <= 14'd0;
sdram_phaseinjector1_address_re <= 1'd0;
sdram_phaseinjector1_baddress_storage_full <= 3'd0;
sdram_phaseinjector1_baddress_re <= 1'd0;
sdram_phaseinjector1_wrdata_storage_full <= 32'd0;
sdram_phaseinjector1_wrdata_re <= 1'd0;
sdram_phaseinjector1_status <= 32'd0;
sdram_phaseinjector2_command_storage_full <= 6'd0;
sdram_phaseinjector2_command_re <= 1'd0;
sdram_phaseinjector2_address_storage_full <= 14'd0;
sdram_phaseinjector2_address_re <= 1'd0;
sdram_phaseinjector2_baddress_storage_full <= 3'd0;
sdram_phaseinjector2_baddress_re <= 1'd0;
sdram_phaseinjector2_wrdata_storage_full <= 32'd0;
sdram_phaseinjector2_wrdata_re <= 1'd0;
sdram_phaseinjector2_status <= 32'd0;
sdram_phaseinjector3_command_storage_full <= 6'd0;
sdram_phaseinjector3_command_re <= 1'd0;
sdram_phaseinjector3_address_storage_full <= 14'd0;
sdram_phaseinjector3_address_re <= 1'd0;
sdram_phaseinjector3_baddress_storage_full <= 3'd0;
sdram_phaseinjector3_baddress_re <= 1'd0;
sdram_phaseinjector3_wrdata_storage_full <= 32'd0;
sdram_phaseinjector3_wrdata_re <= 1'd0;
sdram_phaseinjector3_status <= 32'd0;
sdram_dfi_p0_address <= 14'd0;
sdram_dfi_p0_bank <= 3'd0;
sdram_dfi_p0_cas_n <= 1'd1;
sdram_dfi_p0_cs_n <= 1'd1;
sdram_dfi_p0_ras_n <= 1'd1;
sdram_dfi_p0_we_n <= 1'd1;
sdram_dfi_p0_wrdata_en <= 1'd0;
sdram_dfi_p0_rddata_en <= 1'd0;
sdram_dfi_p1_address <= 14'd0;
sdram_dfi_p1_bank <= 3'd0;
sdram_dfi_p1_cas_n <= 1'd1;
sdram_dfi_p1_cs_n <= 1'd1;
sdram_dfi_p1_ras_n <= 1'd1;
sdram_dfi_p1_we_n <= 1'd1;
sdram_dfi_p1_wrdata_en <= 1'd0;
sdram_dfi_p1_rddata_en <= 1'd0;
sdram_dfi_p2_address <= 14'd0;
sdram_dfi_p2_bank <= 3'd0;
sdram_dfi_p2_cas_n <= 1'd1;
sdram_dfi_p2_cs_n <= 1'd1;
sdram_dfi_p2_ras_n <= 1'd1;
sdram_dfi_p2_we_n <= 1'd1;
sdram_dfi_p2_wrdata_en <= 1'd0;
sdram_dfi_p2_rddata_en <= 1'd0;
sdram_dfi_p3_address <= 14'd0;
sdram_dfi_p3_bank <= 3'd0;
sdram_dfi_p3_cas_n <= 1'd1;
sdram_dfi_p3_cs_n <= 1'd1;
sdram_dfi_p3_ras_n <= 1'd1;
sdram_dfi_p3_we_n <= 1'd1;
sdram_dfi_p3_wrdata_en <= 1'd0;
sdram_dfi_p3_rddata_en <= 1'd0;
sdram_cmd_payload_a <= 14'd0;
sdram_cmd_payload_ba <= 3'd0;
sdram_cmd_payload_cas <= 1'd0;
sdram_cmd_payload_ras <= 1'd0;
sdram_cmd_payload_we <= 1'd0;
sdram_seq_done <= 1'd0;
sdram_counter <= 5'd0;
sdram_count <= 10'd782;
sdram_bankmachine0_cmd_buffer_lookahead_level <= 4'd0;
sdram_bankmachine0_cmd_buffer_lookahead_produce <= 3'd0;
sdram_bankmachine0_cmd_buffer_lookahead_consume <= 3'd0;
sdram_bankmachine0_cmd_buffer_source_payload_we <= 1'd0;
sdram_bankmachine0_cmd_buffer_source_payload_addr <= 21'd0;
sdram_bankmachine0_cmd_buffer_valid_n <= 1'd0;
sdram_bankmachine0_cmd_buffer_first_n <= 1'd0;
sdram_bankmachine0_cmd_buffer_last_n <= 1'd0;
sdram_bankmachine0_has_openrow <= 1'd0;
sdram_bankmachine0_count <= 3'd5;
sdram_bankmachine1_cmd_buffer_lookahead_level <= 4'd0;
sdram_bankmachine1_cmd_buffer_lookahead_produce <= 3'd0;
sdram_bankmachine1_cmd_buffer_lookahead_consume <= 3'd0;
sdram_bankmachine1_cmd_buffer_source_payload_we <= 1'd0;
sdram_bankmachine1_cmd_buffer_source_payload_addr <= 21'd0;
sdram_bankmachine1_cmd_buffer_valid_n <= 1'd0;
sdram_bankmachine1_cmd_buffer_first_n <= 1'd0;
sdram_bankmachine1_cmd_buffer_last_n <= 1'd0;
sdram_bankmachine1_has_openrow <= 1'd0;
sdram_bankmachine1_count <= 3'd5;
sdram_bankmachine2_cmd_buffer_lookahead_level <= 4'd0;
sdram_bankmachine2_cmd_buffer_lookahead_produce <= 3'd0;
sdram_bankmachine2_cmd_buffer_lookahead_consume <= 3'd0;
sdram_bankmachine2_cmd_buffer_source_payload_we <= 1'd0;
sdram_bankmachine2_cmd_buffer_source_payload_addr <= 21'd0;
sdram_bankmachine2_cmd_buffer_valid_n <= 1'd0;
sdram_bankmachine2_cmd_buffer_first_n <= 1'd0;
sdram_bankmachine2_cmd_buffer_last_n <= 1'd0;
sdram_bankmachine2_has_openrow <= 1'd0;
sdram_bankmachine2_count <= 3'd5;
sdram_bankmachine3_cmd_buffer_lookahead_level <= 4'd0;
sdram_bankmachine3_cmd_buffer_lookahead_produce <= 3'd0;
sdram_bankmachine3_cmd_buffer_lookahead_consume <= 3'd0;
sdram_bankmachine3_cmd_buffer_source_payload_we <= 1'd0;
sdram_bankmachine3_cmd_buffer_source_payload_addr <= 21'd0;
sdram_bankmachine3_cmd_buffer_valid_n <= 1'd0;
sdram_bankmachine3_cmd_buffer_first_n <= 1'd0;
sdram_bankmachine3_cmd_buffer_last_n <= 1'd0;
sdram_bankmachine3_has_openrow <= 1'd0;
sdram_bankmachine3_count <= 3'd5;
sdram_bankmachine4_cmd_buffer_lookahead_level <= 4'd0;
sdram_bankmachine4_cmd_buffer_lookahead_produce <= 3'd0;
sdram_bankmachine4_cmd_buffer_lookahead_consume <= 3'd0;
sdram_bankmachine4_cmd_buffer_source_payload_we <= 1'd0;
sdram_bankmachine4_cmd_buffer_source_payload_addr <= 21'd0;
sdram_bankmachine4_cmd_buffer_valid_n <= 1'd0;
sdram_bankmachine4_cmd_buffer_first_n <= 1'd0;
sdram_bankmachine4_cmd_buffer_last_n <= 1'd0;
sdram_bankmachine4_has_openrow <= 1'd0;
sdram_bankmachine4_count <= 3'd5;
sdram_bankmachine5_cmd_buffer_lookahead_level <= 4'd0;
sdram_bankmachine5_cmd_buffer_lookahead_produce <= 3'd0;
sdram_bankmachine5_cmd_buffer_lookahead_consume <= 3'd0;
sdram_bankmachine5_cmd_buffer_source_payload_we <= 1'd0;
sdram_bankmachine5_cmd_buffer_source_payload_addr <= 21'd0;
sdram_bankmachine5_cmd_buffer_valid_n <= 1'd0;
sdram_bankmachine5_cmd_buffer_first_n <= 1'd0;
sdram_bankmachine5_cmd_buffer_last_n <= 1'd0;
sdram_bankmachine5_has_openrow <= 1'd0;
sdram_bankmachine5_count <= 3'd5;
sdram_bankmachine6_cmd_buffer_lookahead_level <= 4'd0;
sdram_bankmachine6_cmd_buffer_lookahead_produce <= 3'd0;
sdram_bankmachine6_cmd_buffer_lookahead_consume <= 3'd0;
sdram_bankmachine6_cmd_buffer_source_payload_we <= 1'd0;
sdram_bankmachine6_cmd_buffer_source_payload_addr <= 21'd0;
sdram_bankmachine6_cmd_buffer_valid_n <= 1'd0;
sdram_bankmachine6_cmd_buffer_first_n <= 1'd0;
sdram_bankmachine6_cmd_buffer_last_n <= 1'd0;
sdram_bankmachine6_has_openrow <= 1'd0;
sdram_bankmachine6_count <= 3'd5;
sdram_bankmachine7_cmd_buffer_lookahead_level <= 4'd0;
sdram_bankmachine7_cmd_buffer_lookahead_produce <= 3'd0;
sdram_bankmachine7_cmd_buffer_lookahead_consume <= 3'd0;
sdram_bankmachine7_cmd_buffer_source_payload_we <= 1'd0;
sdram_bankmachine7_cmd_buffer_source_payload_addr <= 21'd0;
sdram_bankmachine7_cmd_buffer_valid_n <= 1'd0;
sdram_bankmachine7_cmd_buffer_first_n <= 1'd0;
sdram_bankmachine7_cmd_buffer_last_n <= 1'd0;
sdram_bankmachine7_has_openrow <= 1'd0;
sdram_bankmachine7_count <= 3'd5;
sdram_choose_cmd_grant <= 3'd0;
sdram_choose_req_grant <= 3'd0;
sdram_trrdcon_ready <= 1'd1;
sdram_trrdcon_count <= 1'd0;
sdram_tfawcon_ready <= 1'd1;
sdram_tfawcon_window <= 8'd0;
sdram_tccdcon_ready <= 1'd1;
sdram_tccdcon_count <= 1'd0;
sdram_twtrcon_ready <= 1'd1;
sdram_twtrcon_count <= 2'd0;
sdram_time0 <= 5'd0;
sdram_time1 <= 4'd0;
adr_offset_r <= 2'd0;
refresher_state <= 2'd0;
bankmachine0_state <= 4'd0;
bankmachine1_state <= 4'd0;
bankmachine2_state <= 4'd0;
bankmachine3_state <= 4'd0;
bankmachine4_state <= 4'd0;
bankmachine5_state <= 4'd0;
bankmachine6_state <= 4'd0;
bankmachine7_state <= 4'd0;
multiplexer_state <= 4'd0;
rbank <= 3'd0;
wbank <= 3'd0;
new_master_wdata_ready0 <= 1'd0;
new_master_wdata_ready1 <= 1'd0;
new_master_wdata_ready2 <= 1'd0;
new_master_rdata_valid0 <= 1'd0;
new_master_rdata_valid1 <= 1'd0;
new_master_rdata_valid2 <= 1'd0;
new_master_rdata_valid3 <= 1'd0;
new_master_rdata_valid4 <= 1'd0;
new_master_rdata_valid5 <= 1'd0;
new_master_rdata_valid6 <= 1'd0;
new_master_rbank0 <= 3'd0;
new_master_rbank1 <= 3'd0;
new_master_rbank2 <= 3'd0;
new_master_rbank3 <= 3'd0;
new_master_rbank4 <= 3'd0;
new_master_rbank5 <= 3'd0;
new_master_wbank0 <= 3'd0;
new_master_wbank1 <= 3'd0;
fullmemorywe_state <= 3'd0;
litedramwishbone2native_state <= 2'd0;
basesoc_grant <= 1'd0;
basesoc_slave_sel_r <= 4'd0;
basesoc_count <= 17'd65536;
basesoc_interface0_bank_bus_dat_r <= 8'd0;
basesoc_interface1_bank_bus_dat_r <= 8'd0;
basesoc_interface2_bank_bus_dat_r <= 8'd0;
basesoc_interface3_bank_bus_dat_r <= 8'd0;
basesoc_interface4_bank_bus_dat_r <= 8'd0;
basesoc_interface5_bank_bus_dat_r <= 8'd0;
end
regs0 <= serial_rx;
regs1 <= regs0;
end
lm32_cpu #(
.eba_reset(32'h00000000)
) lm32_cpu (
.D_ACK_I(basesoc_lm32_dbus_ack),
.D_DAT_I(basesoc_lm32_dbus_dat_r),
.D_ERR_I(basesoc_lm32_dbus_err),
.D_RTY_I(1'd0),
.I_ACK_I(basesoc_lm32_ibus_ack),
.I_DAT_I(basesoc_lm32_ibus_dat_r),
.I_ERR_I(basesoc_lm32_ibus_err),
.I_RTY_I(1'd0),
.clk_i(sys_clk),
.interrupt(basesoc_lm32_interrupt),
.rst_i((sys_rst | basesoc_lm32_reset)),
.D_ADR_O(basesoc_lm32_d_adr_o),
.D_BTE_O(basesoc_lm32_dbus_bte),
.D_CTI_O(basesoc_lm32_dbus_cti),
.D_CYC_O(basesoc_lm32_dbus_cyc),
.D_DAT_O(basesoc_lm32_dbus_dat_w),
.D_SEL_O(basesoc_lm32_dbus_sel),
.D_STB_O(basesoc_lm32_dbus_stb),
.D_WE_O(basesoc_lm32_dbus_we),
.I_ADR_O(basesoc_lm32_i_adr_o),
.I_BTE_O(basesoc_lm32_ibus_bte),
.I_CTI_O(basesoc_lm32_ibus_cti),
.I_CYC_O(basesoc_lm32_ibus_cyc),
.I_DAT_O(basesoc_lm32_ibus_dat_w),
.I_SEL_O(basesoc_lm32_ibus_sel),
.I_STB_O(basesoc_lm32_ibus_stb),
.I_WE_O(basesoc_lm32_ibus_we)
);
reg [31:0] mem[0:8191];
reg [12:0] memadr;
always @(posedge sys_clk) begin
memadr <= basesoc_rom_adr;
end
assign basesoc_rom_dat_r = mem[memadr];
initial begin
$readmemh("mem.init", mem);
end
reg [31:0] mem_1[0:8191];
reg [12:0] memadr_1;
always @(posedge sys_clk) begin
if (basesoc_sram_we[0])
mem_1[basesoc_sram_adr][7:0] <= basesoc_sram_dat_w[7:0];
if (basesoc_sram_we[1])
mem_1[basesoc_sram_adr][15:8] <= basesoc_sram_dat_w[15:8];
if (basesoc_sram_we[2])
mem_1[basesoc_sram_adr][23:16] <= basesoc_sram_dat_w[23:16];
if (basesoc_sram_we[3])
mem_1[basesoc_sram_adr][31:24] <= basesoc_sram_dat_w[31:24];
memadr_1 <= basesoc_sram_adr;
end
assign basesoc_sram_dat_r = mem_1[memadr_1];
reg [9:0] storage[0:15];
reg [9:0] memdat;
always @(posedge sys_clk) begin
if (basesoc_uart_tx_fifo_wrport_we)
storage[basesoc_uart_tx_fifo_wrport_adr] <= basesoc_uart_tx_fifo_wrport_dat_w;
memdat <= storage[basesoc_uart_tx_fifo_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign basesoc_uart_tx_fifo_wrport_dat_r = memdat;
assign basesoc_uart_tx_fifo_rdport_dat_r = storage[basesoc_uart_tx_fifo_rdport_adr];
reg [9:0] storage_1[0:15];
reg [9:0] memdat_1;
always @(posedge sys_clk) begin
if (basesoc_uart_rx_fifo_wrport_we)
storage_1[basesoc_uart_rx_fifo_wrport_adr] <= basesoc_uart_rx_fifo_wrport_dat_w;
memdat_1 <= storage_1[basesoc_uart_rx_fifo_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign basesoc_uart_rx_fifo_wrport_dat_r = memdat_1;
assign basesoc_uart_rx_fifo_rdport_dat_r = storage_1[basesoc_uart_rx_fifo_rdport_adr];
PLLE2_BASE #(
.CLKFBOUT_MULT(5'd16),
.CLKIN1_PERIOD(10.0),
.CLKOUT0_DIVIDE(5'd16),
.CLKOUT0_PHASE(0.0),
.CLKOUT1_DIVIDE(3'd4),
.CLKOUT1_PHASE(0.0),
.CLKOUT2_DIVIDE(3'd4),
.CLKOUT2_PHASE(90.0),
.CLKOUT3_DIVIDE(4'd8),
.CLKOUT3_PHASE(0.0),
.CLKOUT4_DIVIDE(6'd32),
.CLKOUT4_PHASE(0.0),
.DIVCLK_DIVIDE(1'd1),
.REF_JITTER1(0.01),
.STARTUP_WAIT("FALSE")
) PLLE2_BASE (
.CLKFBIN(pll_fb),
.CLKIN1(clk100),
.CLKFBOUT(pll_fb),
.CLKOUT0(pll_sys),
.CLKOUT1(pll_sys4x),
.CLKOUT2(pll_sys4x_dqs),
.CLKOUT3(pll_clk200),
.CLKOUT4(pll_clk50),
.LOCKED(pll_locked)
);
BUFG BUFG(
.I(pll_sys),
.O(sys_clk)
);
BUFG BUFG_1(
.I(pll_sys4x),
.O(sys4x_clk)
);
BUFG BUFG_2(
.I(pll_sys4x_dqs),
.O(sys4x_dqs_clk)
);
BUFG BUFG_3(
.I(pll_clk200),
.O(clk200_clk)
);
BUFG BUFG_4(
.I(pll_clk50),
.O(clk50_clk)
);
IDELAYCTRL IDELAYCTRL(
.REFCLK(clk200_clk),
.RST(ic_reset)
);
BUFR #(
.BUFR_DIVIDE("4")
) BUFR (
.CE(1'd1),
.CLR(1'd0),
.I(clk100),
.O(eth_clk)
);
BUFG BUFG_5(
.I(eth_clk),
.O(eth_ref_clk)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(1'd0),
.D2(1'd1),
.D3(1'd0),
.D4(1'd1),
.D5(1'd0),
.D6(1'd1),
.D7(1'd0),
.D8(1'd1),
.OCE(1'd1),
.RST(sys_rst),
.OQ(a7ddrphy_sd_clk_se)
);
OBUFDS OBUFDS(
.I(a7ddrphy_sd_clk_se),
.O(ddram_clk_p),
.OB(ddram_clk_n)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_1 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[0]),
.D2(a7ddrphy_dfi_p0_address[0]),
.D3(a7ddrphy_dfi_p1_address[0]),
.D4(a7ddrphy_dfi_p1_address[0]),
.D5(a7ddrphy_dfi_p2_address[0]),
.D6(a7ddrphy_dfi_p2_address[0]),
.D7(a7ddrphy_dfi_p3_address[0]),
.D8(a7ddrphy_dfi_p3_address[0]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[0])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_2 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[1]),
.D2(a7ddrphy_dfi_p0_address[1]),
.D3(a7ddrphy_dfi_p1_address[1]),
.D4(a7ddrphy_dfi_p1_address[1]),
.D5(a7ddrphy_dfi_p2_address[1]),
.D6(a7ddrphy_dfi_p2_address[1]),
.D7(a7ddrphy_dfi_p3_address[1]),
.D8(a7ddrphy_dfi_p3_address[1]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[1])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_3 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[2]),
.D2(a7ddrphy_dfi_p0_address[2]),
.D3(a7ddrphy_dfi_p1_address[2]),
.D4(a7ddrphy_dfi_p1_address[2]),
.D5(a7ddrphy_dfi_p2_address[2]),
.D6(a7ddrphy_dfi_p2_address[2]),
.D7(a7ddrphy_dfi_p3_address[2]),
.D8(a7ddrphy_dfi_p3_address[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[2])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_4 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[3]),
.D2(a7ddrphy_dfi_p0_address[3]),
.D3(a7ddrphy_dfi_p1_address[3]),
.D4(a7ddrphy_dfi_p1_address[3]),
.D5(a7ddrphy_dfi_p2_address[3]),
.D6(a7ddrphy_dfi_p2_address[3]),
.D7(a7ddrphy_dfi_p3_address[3]),
.D8(a7ddrphy_dfi_p3_address[3]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[3])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_5 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[4]),
.D2(a7ddrphy_dfi_p0_address[4]),
.D3(a7ddrphy_dfi_p1_address[4]),
.D4(a7ddrphy_dfi_p1_address[4]),
.D5(a7ddrphy_dfi_p2_address[4]),
.D6(a7ddrphy_dfi_p2_address[4]),
.D7(a7ddrphy_dfi_p3_address[4]),
.D8(a7ddrphy_dfi_p3_address[4]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[4])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_6 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[5]),
.D2(a7ddrphy_dfi_p0_address[5]),
.D3(a7ddrphy_dfi_p1_address[5]),
.D4(a7ddrphy_dfi_p1_address[5]),
.D5(a7ddrphy_dfi_p2_address[5]),
.D6(a7ddrphy_dfi_p2_address[5]),
.D7(a7ddrphy_dfi_p3_address[5]),
.D8(a7ddrphy_dfi_p3_address[5]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[5])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_7 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[6]),
.D2(a7ddrphy_dfi_p0_address[6]),
.D3(a7ddrphy_dfi_p1_address[6]),
.D4(a7ddrphy_dfi_p1_address[6]),
.D5(a7ddrphy_dfi_p2_address[6]),
.D6(a7ddrphy_dfi_p2_address[6]),
.D7(a7ddrphy_dfi_p3_address[6]),
.D8(a7ddrphy_dfi_p3_address[6]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[6])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_8 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[7]),
.D2(a7ddrphy_dfi_p0_address[7]),
.D3(a7ddrphy_dfi_p1_address[7]),
.D4(a7ddrphy_dfi_p1_address[7]),
.D5(a7ddrphy_dfi_p2_address[7]),
.D6(a7ddrphy_dfi_p2_address[7]),
.D7(a7ddrphy_dfi_p3_address[7]),
.D8(a7ddrphy_dfi_p3_address[7]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[7])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_9 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[8]),
.D2(a7ddrphy_dfi_p0_address[8]),
.D3(a7ddrphy_dfi_p1_address[8]),
.D4(a7ddrphy_dfi_p1_address[8]),
.D5(a7ddrphy_dfi_p2_address[8]),
.D6(a7ddrphy_dfi_p2_address[8]),
.D7(a7ddrphy_dfi_p3_address[8]),
.D8(a7ddrphy_dfi_p3_address[8]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[8])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_10 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[9]),
.D2(a7ddrphy_dfi_p0_address[9]),
.D3(a7ddrphy_dfi_p1_address[9]),
.D4(a7ddrphy_dfi_p1_address[9]),
.D5(a7ddrphy_dfi_p2_address[9]),
.D6(a7ddrphy_dfi_p2_address[9]),
.D7(a7ddrphy_dfi_p3_address[9]),
.D8(a7ddrphy_dfi_p3_address[9]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[9])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_11 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[10]),
.D2(a7ddrphy_dfi_p0_address[10]),
.D3(a7ddrphy_dfi_p1_address[10]),
.D4(a7ddrphy_dfi_p1_address[10]),
.D5(a7ddrphy_dfi_p2_address[10]),
.D6(a7ddrphy_dfi_p2_address[10]),
.D7(a7ddrphy_dfi_p3_address[10]),
.D8(a7ddrphy_dfi_p3_address[10]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[10])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_12 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[11]),
.D2(a7ddrphy_dfi_p0_address[11]),
.D3(a7ddrphy_dfi_p1_address[11]),
.D4(a7ddrphy_dfi_p1_address[11]),
.D5(a7ddrphy_dfi_p2_address[11]),
.D6(a7ddrphy_dfi_p2_address[11]),
.D7(a7ddrphy_dfi_p3_address[11]),
.D8(a7ddrphy_dfi_p3_address[11]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[11])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_13 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[12]),
.D2(a7ddrphy_dfi_p0_address[12]),
.D3(a7ddrphy_dfi_p1_address[12]),
.D4(a7ddrphy_dfi_p1_address[12]),
.D5(a7ddrphy_dfi_p2_address[12]),
.D6(a7ddrphy_dfi_p2_address[12]),
.D7(a7ddrphy_dfi_p3_address[12]),
.D8(a7ddrphy_dfi_p3_address[12]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[12])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_14 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_address[13]),
.D2(a7ddrphy_dfi_p0_address[13]),
.D3(a7ddrphy_dfi_p1_address[13]),
.D4(a7ddrphy_dfi_p1_address[13]),
.D5(a7ddrphy_dfi_p2_address[13]),
.D6(a7ddrphy_dfi_p2_address[13]),
.D7(a7ddrphy_dfi_p3_address[13]),
.D8(a7ddrphy_dfi_p3_address[13]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[13])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_15 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_bank[0]),
.D2(a7ddrphy_dfi_p0_bank[0]),
.D3(a7ddrphy_dfi_p1_bank[0]),
.D4(a7ddrphy_dfi_p1_bank[0]),
.D5(a7ddrphy_dfi_p2_bank[0]),
.D6(a7ddrphy_dfi_p2_bank[0]),
.D7(a7ddrphy_dfi_p3_bank[0]),
.D8(a7ddrphy_dfi_p3_bank[0]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba[0])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_16 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_bank[1]),
.D2(a7ddrphy_dfi_p0_bank[1]),
.D3(a7ddrphy_dfi_p1_bank[1]),
.D4(a7ddrphy_dfi_p1_bank[1]),
.D5(a7ddrphy_dfi_p2_bank[1]),
.D6(a7ddrphy_dfi_p2_bank[1]),
.D7(a7ddrphy_dfi_p3_bank[1]),
.D8(a7ddrphy_dfi_p3_bank[1]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba[1])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_17 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_bank[2]),
.D2(a7ddrphy_dfi_p0_bank[2]),
.D3(a7ddrphy_dfi_p1_bank[2]),
.D4(a7ddrphy_dfi_p1_bank[2]),
.D5(a7ddrphy_dfi_p2_bank[2]),
.D6(a7ddrphy_dfi_p2_bank[2]),
.D7(a7ddrphy_dfi_p3_bank[2]),
.D8(a7ddrphy_dfi_p3_bank[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba[2])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_18 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_ras_n),
.D2(a7ddrphy_dfi_p0_ras_n),
.D3(a7ddrphy_dfi_p1_ras_n),
.D4(a7ddrphy_dfi_p1_ras_n),
.D5(a7ddrphy_dfi_p2_ras_n),
.D6(a7ddrphy_dfi_p2_ras_n),
.D7(a7ddrphy_dfi_p3_ras_n),
.D8(a7ddrphy_dfi_p3_ras_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ras_n)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_19 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_cas_n),
.D2(a7ddrphy_dfi_p0_cas_n),
.D3(a7ddrphy_dfi_p1_cas_n),
.D4(a7ddrphy_dfi_p1_cas_n),
.D5(a7ddrphy_dfi_p2_cas_n),
.D6(a7ddrphy_dfi_p2_cas_n),
.D7(a7ddrphy_dfi_p3_cas_n),
.D8(a7ddrphy_dfi_p3_cas_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cas_n)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_20 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_we_n),
.D2(a7ddrphy_dfi_p0_we_n),
.D3(a7ddrphy_dfi_p1_we_n),
.D4(a7ddrphy_dfi_p1_we_n),
.D5(a7ddrphy_dfi_p2_we_n),
.D6(a7ddrphy_dfi_p2_we_n),
.D7(a7ddrphy_dfi_p3_we_n),
.D8(a7ddrphy_dfi_p3_we_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_we_n)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_21 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_cke),
.D2(a7ddrphy_dfi_p0_cke),
.D3(a7ddrphy_dfi_p1_cke),
.D4(a7ddrphy_dfi_p1_cke),
.D5(a7ddrphy_dfi_p2_cke),
.D6(a7ddrphy_dfi_p2_cke),
.D7(a7ddrphy_dfi_p3_cke),
.D8(a7ddrphy_dfi_p3_cke),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cke)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_22 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_odt),
.D2(a7ddrphy_dfi_p0_odt),
.D3(a7ddrphy_dfi_p1_odt),
.D4(a7ddrphy_dfi_p1_odt),
.D5(a7ddrphy_dfi_p2_odt),
.D6(a7ddrphy_dfi_p2_odt),
.D7(a7ddrphy_dfi_p3_odt),
.D8(a7ddrphy_dfi_p3_odt),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_odt)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_23 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_reset_n),
.D2(a7ddrphy_dfi_p0_reset_n),
.D3(a7ddrphy_dfi_p1_reset_n),
.D4(a7ddrphy_dfi_p1_reset_n),
.D5(a7ddrphy_dfi_p2_reset_n),
.D6(a7ddrphy_dfi_p2_reset_n),
.D7(a7ddrphy_dfi_p3_reset_n),
.D8(a7ddrphy_dfi_p3_reset_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_reset_n)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_24 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_cs_n),
.D2(a7ddrphy_dfi_p0_cs_n),
.D3(a7ddrphy_dfi_p1_cs_n),
.D4(a7ddrphy_dfi_p1_cs_n),
.D5(a7ddrphy_dfi_p2_cs_n),
.D6(a7ddrphy_dfi_p2_cs_n),
.D7(a7ddrphy_dfi_p3_cs_n),
.D8(a7ddrphy_dfi_p3_cs_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cs_n)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_25 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata_mask[0]),
.D2(a7ddrphy_dfi_p0_wrdata_mask[2]),
.D3(a7ddrphy_dfi_p1_wrdata_mask[0]),
.D4(a7ddrphy_dfi_p1_wrdata_mask[2]),
.D5(a7ddrphy_dfi_p2_wrdata_mask[0]),
.D6(a7ddrphy_dfi_p2_wrdata_mask[2]),
.D7(a7ddrphy_dfi_p3_wrdata_mask[0]),
.D8(a7ddrphy_dfi_p3_wrdata_mask[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_dm[0])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_26 (
.CLK(sys4x_dqs_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dqs_serdes_pattern[0]),
.D2(a7ddrphy_dqs_serdes_pattern[1]),
.D3(a7ddrphy_dqs_serdes_pattern[2]),
.D4(a7ddrphy_dqs_serdes_pattern[3]),
.D5(a7ddrphy_dqs_serdes_pattern[4]),
.D6(a7ddrphy_dqs_serdes_pattern[5]),
.D7(a7ddrphy_dqs_serdes_pattern[6]),
.D8(a7ddrphy_dqs_serdes_pattern[7]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dqs)),
.TCE(1'd1),
.OFB(a7ddrphy0),
.OQ(a7ddrphy_dqs_nodelay0),
.TQ(a7ddrphy_dqs_t0)
);
OBUFTDS OBUFTDS(
.I(a7ddrphy_dqs_nodelay0),
.T(a7ddrphy_dqs_t0),
.O(ddram_dqs_p[0]),
.OB(ddram_dqs_n[0])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_27 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata_mask[1]),
.D2(a7ddrphy_dfi_p0_wrdata_mask[3]),
.D3(a7ddrphy_dfi_p1_wrdata_mask[1]),
.D4(a7ddrphy_dfi_p1_wrdata_mask[3]),
.D5(a7ddrphy_dfi_p2_wrdata_mask[1]),
.D6(a7ddrphy_dfi_p2_wrdata_mask[3]),
.D7(a7ddrphy_dfi_p3_wrdata_mask[1]),
.D8(a7ddrphy_dfi_p3_wrdata_mask[3]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_dm[1])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_28 (
.CLK(sys4x_dqs_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dqs_serdes_pattern[0]),
.D2(a7ddrphy_dqs_serdes_pattern[1]),
.D3(a7ddrphy_dqs_serdes_pattern[2]),
.D4(a7ddrphy_dqs_serdes_pattern[3]),
.D5(a7ddrphy_dqs_serdes_pattern[4]),
.D6(a7ddrphy_dqs_serdes_pattern[5]),
.D7(a7ddrphy_dqs_serdes_pattern[6]),
.D8(a7ddrphy_dqs_serdes_pattern[7]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dqs)),
.TCE(1'd1),
.OFB(a7ddrphy1),
.OQ(a7ddrphy_dqs_nodelay1),
.TQ(a7ddrphy_dqs_t1)
);
OBUFTDS OBUFTDS_1(
.I(a7ddrphy_dqs_nodelay1),
.T(a7ddrphy_dqs_t1),
.O(ddram_dqs_p[1]),
.OB(ddram_dqs_n[1])
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_29 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[0]),
.D2(a7ddrphy_dfi_p0_wrdata[16]),
.D3(a7ddrphy_dfi_p1_wrdata[0]),
.D4(a7ddrphy_dfi_p1_wrdata[16]),
.D5(a7ddrphy_dfi_p2_wrdata[0]),
.D6(a7ddrphy_dfi_p2_wrdata[16]),
.D7(a7ddrphy_dfi_p3_wrdata[0]),
.D8(a7ddrphy_dfi_p3_wrdata[16]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay0),
.TQ(a7ddrphy_dq_t0)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2 (
.BITSLIP((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed0),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data0[0]),
.Q2(a7ddrphy_dq_i_data0[1]),
.Q3(a7ddrphy_dq_i_data0[2]),
.Q4(a7ddrphy_dq_i_data0[3]),
.Q5(a7ddrphy_dq_i_data0[4]),
.Q6(a7ddrphy_dq_i_data0[5]),
.Q7(a7ddrphy_dq_i_data0[6]),
.Q8(a7ddrphy_dq_i_data0[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay0),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed0)
);
IOBUF IOBUF(
.I(a7ddrphy_dq_o_nodelay0),
.T(a7ddrphy_dq_t0),
.IO(ddram_dq[0]),
.O(a7ddrphy_dq_i_nodelay0)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_30 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[1]),
.D2(a7ddrphy_dfi_p0_wrdata[17]),
.D3(a7ddrphy_dfi_p1_wrdata[1]),
.D4(a7ddrphy_dfi_p1_wrdata[17]),
.D5(a7ddrphy_dfi_p2_wrdata[1]),
.D6(a7ddrphy_dfi_p2_wrdata[17]),
.D7(a7ddrphy_dfi_p3_wrdata[1]),
.D8(a7ddrphy_dfi_p3_wrdata[17]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay1),
.TQ(a7ddrphy_dq_t1)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_1 (
.BITSLIP((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed1),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data1[0]),
.Q2(a7ddrphy_dq_i_data1[1]),
.Q3(a7ddrphy_dq_i_data1[2]),
.Q4(a7ddrphy_dq_i_data1[3]),
.Q5(a7ddrphy_dq_i_data1[4]),
.Q6(a7ddrphy_dq_i_data1[5]),
.Q7(a7ddrphy_dq_i_data1[6]),
.Q8(a7ddrphy_dq_i_data1[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_1 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay1),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed1)
);
IOBUF IOBUF_1(
.I(a7ddrphy_dq_o_nodelay1),
.T(a7ddrphy_dq_t1),
.IO(ddram_dq[1]),
.O(a7ddrphy_dq_i_nodelay1)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_31 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[2]),
.D2(a7ddrphy_dfi_p0_wrdata[18]),
.D3(a7ddrphy_dfi_p1_wrdata[2]),
.D4(a7ddrphy_dfi_p1_wrdata[18]),
.D5(a7ddrphy_dfi_p2_wrdata[2]),
.D6(a7ddrphy_dfi_p2_wrdata[18]),
.D7(a7ddrphy_dfi_p3_wrdata[2]),
.D8(a7ddrphy_dfi_p3_wrdata[18]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay2),
.TQ(a7ddrphy_dq_t2)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_2 (
.BITSLIP((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed2),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data2[0]),
.Q2(a7ddrphy_dq_i_data2[1]),
.Q3(a7ddrphy_dq_i_data2[2]),
.Q4(a7ddrphy_dq_i_data2[3]),
.Q5(a7ddrphy_dq_i_data2[4]),
.Q6(a7ddrphy_dq_i_data2[5]),
.Q7(a7ddrphy_dq_i_data2[6]),
.Q8(a7ddrphy_dq_i_data2[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_2 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay2),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed2)
);
IOBUF IOBUF_2(
.I(a7ddrphy_dq_o_nodelay2),
.T(a7ddrphy_dq_t2),
.IO(ddram_dq[2]),
.O(a7ddrphy_dq_i_nodelay2)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_32 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[3]),
.D2(a7ddrphy_dfi_p0_wrdata[19]),
.D3(a7ddrphy_dfi_p1_wrdata[3]),
.D4(a7ddrphy_dfi_p1_wrdata[19]),
.D5(a7ddrphy_dfi_p2_wrdata[3]),
.D6(a7ddrphy_dfi_p2_wrdata[19]),
.D7(a7ddrphy_dfi_p3_wrdata[3]),
.D8(a7ddrphy_dfi_p3_wrdata[19]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay3),
.TQ(a7ddrphy_dq_t3)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_3 (
.BITSLIP((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed3),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data3[0]),
.Q2(a7ddrphy_dq_i_data3[1]),
.Q3(a7ddrphy_dq_i_data3[2]),
.Q4(a7ddrphy_dq_i_data3[3]),
.Q5(a7ddrphy_dq_i_data3[4]),
.Q6(a7ddrphy_dq_i_data3[5]),
.Q7(a7ddrphy_dq_i_data3[6]),
.Q8(a7ddrphy_dq_i_data3[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_3 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay3),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed3)
);
IOBUF IOBUF_3(
.I(a7ddrphy_dq_o_nodelay3),
.T(a7ddrphy_dq_t3),
.IO(ddram_dq[3]),
.O(a7ddrphy_dq_i_nodelay3)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_33 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[4]),
.D2(a7ddrphy_dfi_p0_wrdata[20]),
.D3(a7ddrphy_dfi_p1_wrdata[4]),
.D4(a7ddrphy_dfi_p1_wrdata[20]),
.D5(a7ddrphy_dfi_p2_wrdata[4]),
.D6(a7ddrphy_dfi_p2_wrdata[20]),
.D7(a7ddrphy_dfi_p3_wrdata[4]),
.D8(a7ddrphy_dfi_p3_wrdata[20]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay4),
.TQ(a7ddrphy_dq_t4)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_4 (
.BITSLIP((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed4),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data4[0]),
.Q2(a7ddrphy_dq_i_data4[1]),
.Q3(a7ddrphy_dq_i_data4[2]),
.Q4(a7ddrphy_dq_i_data4[3]),
.Q5(a7ddrphy_dq_i_data4[4]),
.Q6(a7ddrphy_dq_i_data4[5]),
.Q7(a7ddrphy_dq_i_data4[6]),
.Q8(a7ddrphy_dq_i_data4[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_4 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay4),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed4)
);
IOBUF IOBUF_4(
.I(a7ddrphy_dq_o_nodelay4),
.T(a7ddrphy_dq_t4),
.IO(ddram_dq[4]),
.O(a7ddrphy_dq_i_nodelay4)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_34 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[5]),
.D2(a7ddrphy_dfi_p0_wrdata[21]),
.D3(a7ddrphy_dfi_p1_wrdata[5]),
.D4(a7ddrphy_dfi_p1_wrdata[21]),
.D5(a7ddrphy_dfi_p2_wrdata[5]),
.D6(a7ddrphy_dfi_p2_wrdata[21]),
.D7(a7ddrphy_dfi_p3_wrdata[5]),
.D8(a7ddrphy_dfi_p3_wrdata[21]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay5),
.TQ(a7ddrphy_dq_t5)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_5 (
.BITSLIP((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed5),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data5[0]),
.Q2(a7ddrphy_dq_i_data5[1]),
.Q3(a7ddrphy_dq_i_data5[2]),
.Q4(a7ddrphy_dq_i_data5[3]),
.Q5(a7ddrphy_dq_i_data5[4]),
.Q6(a7ddrphy_dq_i_data5[5]),
.Q7(a7ddrphy_dq_i_data5[6]),
.Q8(a7ddrphy_dq_i_data5[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_5 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay5),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed5)
);
IOBUF IOBUF_5(
.I(a7ddrphy_dq_o_nodelay5),
.T(a7ddrphy_dq_t5),
.IO(ddram_dq[5]),
.O(a7ddrphy_dq_i_nodelay5)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_35 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[6]),
.D2(a7ddrphy_dfi_p0_wrdata[22]),
.D3(a7ddrphy_dfi_p1_wrdata[6]),
.D4(a7ddrphy_dfi_p1_wrdata[22]),
.D5(a7ddrphy_dfi_p2_wrdata[6]),
.D6(a7ddrphy_dfi_p2_wrdata[22]),
.D7(a7ddrphy_dfi_p3_wrdata[6]),
.D8(a7ddrphy_dfi_p3_wrdata[22]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay6),
.TQ(a7ddrphy_dq_t6)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_6 (
.BITSLIP((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed6),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data6[0]),
.Q2(a7ddrphy_dq_i_data6[1]),
.Q3(a7ddrphy_dq_i_data6[2]),
.Q4(a7ddrphy_dq_i_data6[3]),
.Q5(a7ddrphy_dq_i_data6[4]),
.Q6(a7ddrphy_dq_i_data6[5]),
.Q7(a7ddrphy_dq_i_data6[6]),
.Q8(a7ddrphy_dq_i_data6[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_6 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay6),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed6)
);
IOBUF IOBUF_6(
.I(a7ddrphy_dq_o_nodelay6),
.T(a7ddrphy_dq_t6),
.IO(ddram_dq[6]),
.O(a7ddrphy_dq_i_nodelay6)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_36 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[7]),
.D2(a7ddrphy_dfi_p0_wrdata[23]),
.D3(a7ddrphy_dfi_p1_wrdata[7]),
.D4(a7ddrphy_dfi_p1_wrdata[23]),
.D5(a7ddrphy_dfi_p2_wrdata[7]),
.D6(a7ddrphy_dfi_p2_wrdata[23]),
.D7(a7ddrphy_dfi_p3_wrdata[7]),
.D8(a7ddrphy_dfi_p3_wrdata[23]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay7),
.TQ(a7ddrphy_dq_t7)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_7 (
.BITSLIP((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed7),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data7[0]),
.Q2(a7ddrphy_dq_i_data7[1]),
.Q3(a7ddrphy_dq_i_data7[2]),
.Q4(a7ddrphy_dq_i_data7[3]),
.Q5(a7ddrphy_dq_i_data7[4]),
.Q6(a7ddrphy_dq_i_data7[5]),
.Q7(a7ddrphy_dq_i_data7[6]),
.Q8(a7ddrphy_dq_i_data7[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_7 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay7),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed7)
);
IOBUF IOBUF_7(
.I(a7ddrphy_dq_o_nodelay7),
.T(a7ddrphy_dq_t7),
.IO(ddram_dq[7]),
.O(a7ddrphy_dq_i_nodelay7)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_37 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[8]),
.D2(a7ddrphy_dfi_p0_wrdata[24]),
.D3(a7ddrphy_dfi_p1_wrdata[8]),
.D4(a7ddrphy_dfi_p1_wrdata[24]),
.D5(a7ddrphy_dfi_p2_wrdata[8]),
.D6(a7ddrphy_dfi_p2_wrdata[24]),
.D7(a7ddrphy_dfi_p3_wrdata[8]),
.D8(a7ddrphy_dfi_p3_wrdata[24]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay8),
.TQ(a7ddrphy_dq_t8)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_8 (
.BITSLIP((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed8),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data8[0]),
.Q2(a7ddrphy_dq_i_data8[1]),
.Q3(a7ddrphy_dq_i_data8[2]),
.Q4(a7ddrphy_dq_i_data8[3]),
.Q5(a7ddrphy_dq_i_data8[4]),
.Q6(a7ddrphy_dq_i_data8[5]),
.Q7(a7ddrphy_dq_i_data8[6]),
.Q8(a7ddrphy_dq_i_data8[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_8 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay8),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed8)
);
IOBUF IOBUF_8(
.I(a7ddrphy_dq_o_nodelay8),
.T(a7ddrphy_dq_t8),
.IO(ddram_dq[8]),
.O(a7ddrphy_dq_i_nodelay8)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_38 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[9]),
.D2(a7ddrphy_dfi_p0_wrdata[25]),
.D3(a7ddrphy_dfi_p1_wrdata[9]),
.D4(a7ddrphy_dfi_p1_wrdata[25]),
.D5(a7ddrphy_dfi_p2_wrdata[9]),
.D6(a7ddrphy_dfi_p2_wrdata[25]),
.D7(a7ddrphy_dfi_p3_wrdata[9]),
.D8(a7ddrphy_dfi_p3_wrdata[25]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay9),
.TQ(a7ddrphy_dq_t9)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_9 (
.BITSLIP((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed9),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data9[0]),
.Q2(a7ddrphy_dq_i_data9[1]),
.Q3(a7ddrphy_dq_i_data9[2]),
.Q4(a7ddrphy_dq_i_data9[3]),
.Q5(a7ddrphy_dq_i_data9[4]),
.Q6(a7ddrphy_dq_i_data9[5]),
.Q7(a7ddrphy_dq_i_data9[6]),
.Q8(a7ddrphy_dq_i_data9[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_9 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay9),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed9)
);
IOBUF IOBUF_9(
.I(a7ddrphy_dq_o_nodelay9),
.T(a7ddrphy_dq_t9),
.IO(ddram_dq[9]),
.O(a7ddrphy_dq_i_nodelay9)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_39 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[10]),
.D2(a7ddrphy_dfi_p0_wrdata[26]),
.D3(a7ddrphy_dfi_p1_wrdata[10]),
.D4(a7ddrphy_dfi_p1_wrdata[26]),
.D5(a7ddrphy_dfi_p2_wrdata[10]),
.D6(a7ddrphy_dfi_p2_wrdata[26]),
.D7(a7ddrphy_dfi_p3_wrdata[10]),
.D8(a7ddrphy_dfi_p3_wrdata[26]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay10),
.TQ(a7ddrphy_dq_t10)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_10 (
.BITSLIP((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed10),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data10[0]),
.Q2(a7ddrphy_dq_i_data10[1]),
.Q3(a7ddrphy_dq_i_data10[2]),
.Q4(a7ddrphy_dq_i_data10[3]),
.Q5(a7ddrphy_dq_i_data10[4]),
.Q6(a7ddrphy_dq_i_data10[5]),
.Q7(a7ddrphy_dq_i_data10[6]),
.Q8(a7ddrphy_dq_i_data10[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_10 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay10),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed10)
);
IOBUF IOBUF_10(
.I(a7ddrphy_dq_o_nodelay10),
.T(a7ddrphy_dq_t10),
.IO(ddram_dq[10]),
.O(a7ddrphy_dq_i_nodelay10)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_40 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[11]),
.D2(a7ddrphy_dfi_p0_wrdata[27]),
.D3(a7ddrphy_dfi_p1_wrdata[11]),
.D4(a7ddrphy_dfi_p1_wrdata[27]),
.D5(a7ddrphy_dfi_p2_wrdata[11]),
.D6(a7ddrphy_dfi_p2_wrdata[27]),
.D7(a7ddrphy_dfi_p3_wrdata[11]),
.D8(a7ddrphy_dfi_p3_wrdata[27]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay11),
.TQ(a7ddrphy_dq_t11)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_11 (
.BITSLIP((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed11),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data11[0]),
.Q2(a7ddrphy_dq_i_data11[1]),
.Q3(a7ddrphy_dq_i_data11[2]),
.Q4(a7ddrphy_dq_i_data11[3]),
.Q5(a7ddrphy_dq_i_data11[4]),
.Q6(a7ddrphy_dq_i_data11[5]),
.Q7(a7ddrphy_dq_i_data11[6]),
.Q8(a7ddrphy_dq_i_data11[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_11 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay11),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed11)
);
IOBUF IOBUF_11(
.I(a7ddrphy_dq_o_nodelay11),
.T(a7ddrphy_dq_t11),
.IO(ddram_dq[11]),
.O(a7ddrphy_dq_i_nodelay11)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_41 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[12]),
.D2(a7ddrphy_dfi_p0_wrdata[28]),
.D3(a7ddrphy_dfi_p1_wrdata[12]),
.D4(a7ddrphy_dfi_p1_wrdata[28]),
.D5(a7ddrphy_dfi_p2_wrdata[12]),
.D6(a7ddrphy_dfi_p2_wrdata[28]),
.D7(a7ddrphy_dfi_p3_wrdata[12]),
.D8(a7ddrphy_dfi_p3_wrdata[28]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay12),
.TQ(a7ddrphy_dq_t12)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_12 (
.BITSLIP((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed12),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data12[0]),
.Q2(a7ddrphy_dq_i_data12[1]),
.Q3(a7ddrphy_dq_i_data12[2]),
.Q4(a7ddrphy_dq_i_data12[3]),
.Q5(a7ddrphy_dq_i_data12[4]),
.Q6(a7ddrphy_dq_i_data12[5]),
.Q7(a7ddrphy_dq_i_data12[6]),
.Q8(a7ddrphy_dq_i_data12[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_12 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay12),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed12)
);
IOBUF IOBUF_12(
.I(a7ddrphy_dq_o_nodelay12),
.T(a7ddrphy_dq_t12),
.IO(ddram_dq[12]),
.O(a7ddrphy_dq_i_nodelay12)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_42 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[13]),
.D2(a7ddrphy_dfi_p0_wrdata[29]),
.D3(a7ddrphy_dfi_p1_wrdata[13]),
.D4(a7ddrphy_dfi_p1_wrdata[29]),
.D5(a7ddrphy_dfi_p2_wrdata[13]),
.D6(a7ddrphy_dfi_p2_wrdata[29]),
.D7(a7ddrphy_dfi_p3_wrdata[13]),
.D8(a7ddrphy_dfi_p3_wrdata[29]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay13),
.TQ(a7ddrphy_dq_t13)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_13 (
.BITSLIP((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed13),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data13[0]),
.Q2(a7ddrphy_dq_i_data13[1]),
.Q3(a7ddrphy_dq_i_data13[2]),
.Q4(a7ddrphy_dq_i_data13[3]),
.Q5(a7ddrphy_dq_i_data13[4]),
.Q6(a7ddrphy_dq_i_data13[5]),
.Q7(a7ddrphy_dq_i_data13[6]),
.Q8(a7ddrphy_dq_i_data13[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_13 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay13),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed13)
);
IOBUF IOBUF_13(
.I(a7ddrphy_dq_o_nodelay13),
.T(a7ddrphy_dq_t13),
.IO(ddram_dq[13]),
.O(a7ddrphy_dq_i_nodelay13)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_43 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[14]),
.D2(a7ddrphy_dfi_p0_wrdata[30]),
.D3(a7ddrphy_dfi_p1_wrdata[14]),
.D4(a7ddrphy_dfi_p1_wrdata[30]),
.D5(a7ddrphy_dfi_p2_wrdata[14]),
.D6(a7ddrphy_dfi_p2_wrdata[30]),
.D7(a7ddrphy_dfi_p3_wrdata[14]),
.D8(a7ddrphy_dfi_p3_wrdata[30]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay14),
.TQ(a7ddrphy_dq_t14)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_14 (
.BITSLIP((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed14),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data14[0]),
.Q2(a7ddrphy_dq_i_data14[1]),
.Q3(a7ddrphy_dq_i_data14[2]),
.Q4(a7ddrphy_dq_i_data14[3]),
.Q5(a7ddrphy_dq_i_data14[4]),
.Q6(a7ddrphy_dq_i_data14[5]),
.Q7(a7ddrphy_dq_i_data14[6]),
.Q8(a7ddrphy_dq_i_data14[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_14 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay14),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed14)
);
IOBUF IOBUF_14(
.I(a7ddrphy_dq_o_nodelay14),
.T(a7ddrphy_dq_t14),
.IO(ddram_dq[14]),
.O(a7ddrphy_dq_i_nodelay14)
);
OSERDESE2 #(
.DATA_RATE_OQ("DDR"),
.DATA_RATE_TQ("BUF"),
.DATA_WIDTH(4'd8),
.SERDES_MODE("MASTER"),
.TRISTATE_WIDTH(1'd1)
) OSERDESE2_44 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
.D1(a7ddrphy_dfi_p0_wrdata[15]),
.D2(a7ddrphy_dfi_p0_wrdata[31]),
.D3(a7ddrphy_dfi_p1_wrdata[15]),
.D4(a7ddrphy_dfi_p1_wrdata[31]),
.D5(a7ddrphy_dfi_p2_wrdata[15]),
.D6(a7ddrphy_dfi_p2_wrdata[31]),
.D7(a7ddrphy_dfi_p3_wrdata[15]),
.D8(a7ddrphy_dfi_p3_wrdata[31]),
.OCE(1'd1),
.RST(sys_rst),
.T1((~a7ddrphy_oe_dq)),
.TCE(1'd1),
.OQ(a7ddrphy_dq_o_nodelay15),
.TQ(a7ddrphy_dq_t15)
);
ISERDESE2 #(
.DATA_RATE("DDR"),
.DATA_WIDTH(4'd8),
.INTERFACE_TYPE("NETWORKING"),
.IOBDELAY("IFD"),
.NUM_CE(1'd1),
.SERDES_MODE("MASTER")
) ISERDESE2_15 (
.BITSLIP((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)),
.CE1(1'd1),
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
.DDLY(a7ddrphy_dq_i_delayed15),
.RST((sys_rst | (a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re))),
.Q1(a7ddrphy_dq_i_data15[0]),
.Q2(a7ddrphy_dq_i_data15[1]),
.Q3(a7ddrphy_dq_i_data15[2]),
.Q4(a7ddrphy_dq_i_data15[3]),
.Q5(a7ddrphy_dq_i_data15[4]),
.Q6(a7ddrphy_dq_i_data15[5]),
.Q7(a7ddrphy_dq_i_data15[6]),
.Q8(a7ddrphy_dq_i_data15[7])
);
IDELAYE2 #(
.CINVCTRL_SEL("FALSE"),
.DELAY_SRC("IDATAIN"),
.HIGH_PERFORMANCE_MODE("TRUE"),
.IDELAY_TYPE("VARIABLE"),
.IDELAY_VALUE(1'd0),
.PIPE_SEL("FALSE"),
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_15 (
.C(sys_clk),
.CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
.IDATAIN(a7ddrphy_dq_i_nodelay15),
.INC(1'd1),
.LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
.DATAOUT(a7ddrphy_dq_i_delayed15)
);
IOBUF IOBUF_15(
.I(a7ddrphy_dq_o_nodelay15),
.T(a7ddrphy_dq_t15),
.IO(ddram_dq[15]),
.O(a7ddrphy_dq_i_nodelay15)
);
reg [23:0] storage_2[0:7];
reg [23:0] memdat_2;
always @(posedge sys_clk) begin
if (sdram_bankmachine0_cmd_buffer_lookahead_wrport_we)
storage_2[sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
memdat_2 <= storage_2[sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = memdat_2;
assign sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage_2[sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_3[0:7];
reg [23:0] memdat_3;
always @(posedge sys_clk) begin
if (sdram_bankmachine1_cmd_buffer_lookahead_wrport_we)
storage_3[sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
memdat_3 <= storage_3[sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = memdat_3;
assign sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_3[sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_4[0:7];
reg [23:0] memdat_4;
always @(posedge sys_clk) begin
if (sdram_bankmachine2_cmd_buffer_lookahead_wrport_we)
storage_4[sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
memdat_4 <= storage_4[sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = memdat_4;
assign sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_4[sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_5[0:7];
reg [23:0] memdat_5;
always @(posedge sys_clk) begin
if (sdram_bankmachine3_cmd_buffer_lookahead_wrport_we)
storage_5[sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
memdat_5 <= storage_5[sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = memdat_5;
assign sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_5[sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_6[0:7];
reg [23:0] memdat_6;
always @(posedge sys_clk) begin
if (sdram_bankmachine4_cmd_buffer_lookahead_wrport_we)
storage_6[sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
memdat_6 <= storage_6[sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = memdat_6;
assign sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_6[sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_7[0:7];
reg [23:0] memdat_7;
always @(posedge sys_clk) begin
if (sdram_bankmachine5_cmd_buffer_lookahead_wrport_we)
storage_7[sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
memdat_7 <= storage_7[sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = memdat_7;
assign sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_7[sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_8[0:7];
reg [23:0] memdat_8;
always @(posedge sys_clk) begin
if (sdram_bankmachine6_cmd_buffer_lookahead_wrport_we)
storage_8[sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
memdat_8 <= storage_8[sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = memdat_8;
assign sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_8[sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr];
reg [23:0] storage_9[0:7];
reg [23:0] memdat_9;
always @(posedge sys_clk) begin
if (sdram_bankmachine7_cmd_buffer_lookahead_wrport_we)
storage_9[sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
memdat_9 <= storage_9[sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
assign sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = memdat_9;
assign sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_9[sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr];
reg [23:0] tag_mem[0:511];
reg [8:0] memadr_2;
always @(posedge sys_clk) begin
if (tag_port_we)
tag_mem[tag_port_adr] <= tag_port_dat_w;
memadr_2 <= tag_port_adr;
end
assign tag_port_dat_r = tag_mem[memadr_2];
reg [7:0] data_mem_grain0[0:511];
reg [8:0] memadr_3;
always @(posedge sys_clk) begin
if (data_port_we[0])
data_mem_grain0[data_port_adr] <= data_port_dat_w[7:0];
memadr_3 <= data_port_adr;
end
assign data_port_dat_r[7:0] = data_mem_grain0[memadr_3];
reg [7:0] data_mem_grain1[0:511];
reg [8:0] memadr_4;
always @(posedge sys_clk) begin
if (data_port_we[1])
data_mem_grain1[data_port_adr] <= data_port_dat_w[15:8];
memadr_4 <= data_port_adr;
end
assign data_port_dat_r[15:8] = data_mem_grain1[memadr_4];
reg [7:0] data_mem_grain2[0:511];
reg [8:0] memadr_5;
always @(posedge sys_clk) begin
if (data_port_we[2])
data_mem_grain2[data_port_adr] <= data_port_dat_w[23:16];
memadr_5 <= data_port_adr;
end
assign data_port_dat_r[23:16] = data_mem_grain2[memadr_5];
reg [7:0] data_mem_grain3[0:511];
reg [8:0] memadr_6;
always @(posedge sys_clk) begin
if (data_port_we[3])
data_mem_grain3[data_port_adr] <= data_port_dat_w[31:24];
memadr_6 <= data_port_adr;
end
assign data_port_dat_r[31:24] = data_mem_grain3[memadr_6];
reg [7:0] data_mem_grain4[0:511];
reg [8:0] memadr_7;
always @(posedge sys_clk) begin
if (data_port_we[4])
data_mem_grain4[data_port_adr] <= data_port_dat_w[39:32];
memadr_7 <= data_port_adr;
end
assign data_port_dat_r[39:32] = data_mem_grain4[memadr_7];
reg [7:0] data_mem_grain5[0:511];
reg [8:0] memadr_8;
always @(posedge sys_clk) begin
if (data_port_we[5])
data_mem_grain5[data_port_adr] <= data_port_dat_w[47:40];
memadr_8 <= data_port_adr;
end
assign data_port_dat_r[47:40] = data_mem_grain5[memadr_8];
reg [7:0] data_mem_grain6[0:511];
reg [8:0] memadr_9;
always @(posedge sys_clk) begin
if (data_port_we[6])
data_mem_grain6[data_port_adr] <= data_port_dat_w[55:48];
memadr_9 <= data_port_adr;
end
assign data_port_dat_r[55:48] = data_mem_grain6[memadr_9];
reg [7:0] data_mem_grain7[0:511];
reg [8:0] memadr_10;
always @(posedge sys_clk) begin
if (data_port_we[7])
data_mem_grain7[data_port_adr] <= data_port_dat_w[63:56];
memadr_10 <= data_port_adr;
end
assign data_port_dat_r[63:56] = data_mem_grain7[memadr_10];
reg [7:0] data_mem_grain8[0:511];
reg [8:0] memadr_11;
always @(posedge sys_clk) begin
if (data_port_we[8])
data_mem_grain8[data_port_adr] <= data_port_dat_w[71:64];
memadr_11 <= data_port_adr;
end
assign data_port_dat_r[71:64] = data_mem_grain8[memadr_11];
reg [7:0] data_mem_grain9[0:511];
reg [8:0] memadr_12;
always @(posedge sys_clk) begin
if (data_port_we[9])
data_mem_grain9[data_port_adr] <= data_port_dat_w[79:72];
memadr_12 <= data_port_adr;
end
assign data_port_dat_r[79:72] = data_mem_grain9[memadr_12];
reg [7:0] data_mem_grain10[0:511];
reg [8:0] memadr_13;
always @(posedge sys_clk) begin
if (data_port_we[10])
data_mem_grain10[data_port_adr] <= data_port_dat_w[87:80];
memadr_13 <= data_port_adr;
end
assign data_port_dat_r[87:80] = data_mem_grain10[memadr_13];
reg [7:0] data_mem_grain11[0:511];
reg [8:0] memadr_14;
always @(posedge sys_clk) begin
if (data_port_we[11])
data_mem_grain11[data_port_adr] <= data_port_dat_w[95:88];
memadr_14 <= data_port_adr;
end
assign data_port_dat_r[95:88] = data_mem_grain11[memadr_14];
reg [7:0] data_mem_grain12[0:511];
reg [8:0] memadr_15;
always @(posedge sys_clk) begin
if (data_port_we[12])
data_mem_grain12[data_port_adr] <= data_port_dat_w[103:96];
memadr_15 <= data_port_adr;
end
assign data_port_dat_r[103:96] = data_mem_grain12[memadr_15];
reg [7:0] data_mem_grain13[0:511];
reg [8:0] memadr_16;
always @(posedge sys_clk) begin
if (data_port_we[13])
data_mem_grain13[data_port_adr] <= data_port_dat_w[111:104];
memadr_16 <= data_port_adr;
end
assign data_port_dat_r[111:104] = data_mem_grain13[memadr_16];
reg [7:0] data_mem_grain14[0:511];
reg [8:0] memadr_17;
always @(posedge sys_clk) begin
if (data_port_we[14])
data_mem_grain14[data_port_adr] <= data_port_dat_w[119:112];
memadr_17 <= data_port_adr;
end
assign data_port_dat_r[119:112] = data_mem_grain14[memadr_17];
reg [7:0] data_mem_grain15[0:511];
reg [8:0] memadr_18;
always @(posedge sys_clk) begin
if (data_port_we[15])
data_mem_grain15[data_port_adr] <= data_port_dat_w[127:120];
memadr_18 <= data_port_adr;
end
assign data_port_dat_r[127:120] = data_mem_grain15[memadr_18];
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE (
.C(sys_clk),
.CE(1'd1),
.D(1'd0),
.PRE(xilinxasyncresetsynchronizerimpl0),
.Q(xilinxasyncresetsynchronizerimpl0_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_1 (
.C(sys_clk),
.CE(1'd1),
.D(xilinxasyncresetsynchronizerimpl0_rst_meta),
.PRE(xilinxasyncresetsynchronizerimpl0),
.Q(sys_rst)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_2 (
.C(clk200_clk),
.CE(1'd1),
.D(1'd0),
.PRE(xilinxasyncresetsynchronizerimpl1),
.Q(xilinxasyncresetsynchronizerimpl1_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_3 (
.C(clk200_clk),
.CE(1'd1),
.D(xilinxasyncresetsynchronizerimpl1_rst_meta),
.PRE(xilinxasyncresetsynchronizerimpl1),
.Q(clk200_rst)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_4 (
.C(clk50_clk),
.CE(1'd1),
.D(1'd0),
.PRE(xilinxasyncresetsynchronizerimpl2),
.Q(xilinxasyncresetsynchronizerimpl2_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
.INIT(1'd1)
) FDPE_5 (
.C(clk50_clk),
.CE(1'd1),
.D(xilinxasyncresetsynchronizerimpl2_rst_meta),
.PRE(xilinxasyncresetsynchronizerimpl2),
.Q(clk50_rst)
);
endmodule
/* Machine-generated using LiteX gen */
module top(
output reg serial_tx,
input serial_rx,
input clk100,
input cpu_reset,
output eth_ref_clk,
output [13:0] ddram_a,
output [2:0] ddram_ba,
output ddram_ras_n,
output ddram_cas_n,
output ddram_we_n,
output ddram_cs_n,
output [1:0] ddram_dm,
inout [15:0] ddram_dq,
output [1:0] ddram_dqs_p,
output [1:0] ddram_dqs_n,
output ddram_clk_p,
output ddram_clk_n,
output ddram_cke,
output ddram_odt,
output ddram_reset_n
);
wire basesoc_ctrl_reset_reset_re;
wire basesoc_ctrl_reset_reset_r;
reg basesoc_ctrl_reset_reset_w = 1'd0;
reg [31:0] basesoc_ctrl_storage_full = 32'd305419896;
wire [31:0] basesoc_ctrl_storage;
reg basesoc_ctrl_re = 1'd0;
wire [31:0] basesoc_ctrl_bus_errors_status;
wire basesoc_ctrl_reset;
wire basesoc_ctrl_bus_error;
reg [31:0] basesoc_ctrl_bus_errors = 32'd0;
wire basesoc_lm32_reset;
wire [29:0] basesoc_lm32_ibus_adr;
wire [31:0] basesoc_lm32_ibus_dat_w;
wire [31:0] basesoc_lm32_ibus_dat_r;
wire [3:0] basesoc_lm32_ibus_sel;
wire basesoc_lm32_ibus_cyc;
wire basesoc_lm32_ibus_stb;
wire basesoc_lm32_ibus_ack;
wire basesoc_lm32_ibus_we;
wire [2:0] basesoc_lm32_ibus_cti;
wire [1:0] basesoc_lm32_ibus_bte;
wire basesoc_lm32_ibus_err;
wire [29:0] basesoc_lm32_dbus_adr;
wire [31:0] basesoc_lm32_dbus_dat_w;
wire [31:0] basesoc_lm32_dbus_dat_r;
wire [3:0] basesoc_lm32_dbus_sel;
wire basesoc_lm32_dbus_cyc;
wire basesoc_lm32_dbus_stb;
wire basesoc_lm32_dbus_ack;
wire basesoc_lm32_dbus_we;
wire [2:0] basesoc_lm32_dbus_cti;
wire [1:0] basesoc_lm32_dbus_bte;
wire basesoc_lm32_dbus_err;
reg [31:0] basesoc_lm32_interrupt = 32'd0;
wire [31:0] basesoc_lm32_i_adr_o;
wire [31:0] basesoc_lm32_d_adr_o;
wire [29:0] basesoc_rom_bus_adr;
wire [31:0] basesoc_rom_bus_dat_w;
wire [31:0] basesoc_rom_bus_dat_r;
wire [3:0] basesoc_rom_bus_sel;
wire basesoc_rom_bus_cyc;
wire basesoc_rom_bus_stb;
reg basesoc_rom_bus_ack = 1'd0;
wire basesoc_rom_bus_we;
wire [2:0] basesoc_rom_bus_cti;
wire [1:0] basesoc_rom_bus_bte;
reg basesoc_rom_bus_err = 1'd0;
wire [12:0] basesoc_rom_adr;
wire [31:0] basesoc_rom_dat_r;
wire [29:0] basesoc_sram_bus_adr;
wire [31:0] basesoc_sram_bus_dat_w;
wire [31:0] basesoc_sram_bus_dat_r;
wire [3:0] basesoc_sram_bus_sel;
wire basesoc_sram_bus_cyc;
wire basesoc_sram_bus_stb;
reg basesoc_sram_bus_ack = 1'd0;
wire basesoc_sram_bus_we;
wire [2:0] basesoc_sram_bus_cti;
wire [1:0] basesoc_sram_bus_bte;
reg basesoc_sram_bus_err = 1'd0;
wire [12:0] basesoc_sram_adr;
wire [31:0] basesoc_sram_dat_r;
reg [3:0] basesoc_sram_we = 4'd0;
wire [31:0] basesoc_sram_dat_w;
reg [13:0] basesoc_interface_adr = 14'd0;
reg basesoc_interface_we = 1'd0;
reg [7:0] basesoc_interface_dat_w = 8'd0;
wire [7:0] basesoc_interface_dat_r;
wire [29:0] basesoc_bus_wishbone_adr;
wire [31:0] basesoc_bus_wishbone_dat_w;
reg [31:0] basesoc_bus_wishbone_dat_r = 32'd0;
wire [3:0] basesoc_bus_wishbone_sel;
wire basesoc_bus_wishbone_cyc;
wire basesoc_bus_wishbone_stb;
reg basesoc_bus_wishbone_ack = 1'd0;
wire basesoc_bus_wishbone_we;
wire [2:0] basesoc_bus_wishbone_cti;
wire [1:0] basesoc_bus_wishbone_bte;
reg basesoc_bus_wishbone_err = 1'd0;
reg [1:0] basesoc_counter = 2'd0;
reg [31:0] basesoc_uart_phy_storage_full = 32'd4947802;
wire [31:0] basesoc_uart_phy_storage;
reg basesoc_uart_phy_re = 1'd0;
wire basesoc_uart_phy_sink_valid;
reg basesoc_uart_phy_sink_ready = 1'd0;
wire basesoc_uart_phy_sink_first;
wire basesoc_uart_phy_sink_last;
wire [7:0] basesoc_uart_phy_sink_payload_data;
reg basesoc_uart_phy_uart_clk_txen = 1'd0;
reg [31:0] basesoc_uart_phy_phase_accumulator_tx = 32'd0;
reg [7:0] basesoc_uart_phy_tx_reg = 8'd0;
reg [3:0] basesoc_uart_phy_tx_bitcount = 4'd0;
reg basesoc_uart_phy_tx_busy = 1'd0;
reg basesoc_uart_phy_source_valid = 1'd0;
wire basesoc_uart_phy_source_ready;
reg basesoc_uart_phy_source_first = 1'd0;
reg basesoc_uart_phy_source_last = 1'd0;
reg [7:0] basesoc_uart_phy_source_payload_data = 8'd0;
reg basesoc_uart_phy_uart_clk_rxen = 1'd0;
reg [31:0] basesoc_uart_phy_phase_accumulator_rx = 32'd0;
wire basesoc_uart_phy_rx;
reg basesoc_uart_phy_rx_r = 1'd0;
reg [7:0] basesoc_uart_phy_rx_reg = 8'd0;
reg [3:0] basesoc_uart_phy_rx_bitcount = 4'd0;
reg basesoc_uart_phy_rx_busy = 1'd0;
wire basesoc_uart_rxtx_re;
wire [7:0] basesoc_uart_rxtx_r;
wire [7:0] basesoc_uart_rxtx_w;
wire basesoc_uart_txfull_status;
wire basesoc_uart_rxempty_status;
wire basesoc_uart_irq;
wire basesoc_uart_tx_status;
reg basesoc_uart_tx_pending = 1'd0;
wire basesoc_uart_tx_trigger;
reg basesoc_uart_tx_clear = 1'd0;
reg basesoc_uart_tx_old_trigger = 1'd0;
wire basesoc_uart_rx_status;
reg basesoc_uart_rx_pending = 1'd0;
wire basesoc_uart_rx_trigger;
reg basesoc_uart_rx_clear = 1'd0;
reg basesoc_uart_rx_old_trigger = 1'd0;
wire basesoc_uart_status_re;
wire [1:0] basesoc_uart_status_r;
reg [1:0] basesoc_uart_status_w = 2'd0;
wire basesoc_uart_pending_re;
wire [1:0] basesoc_uart_pending_r;
reg [1:0] basesoc_uart_pending_w = 2'd0;
reg [1:0] basesoc_uart_storage_full = 2'd0;
wire [1:0] basesoc_uart_storage;
reg basesoc_uart_re = 1'd0;
wire basesoc_uart_tx_fifo_sink_valid;
wire basesoc_uart_tx_fifo_sink_ready;
reg basesoc_uart_tx_fifo_sink_first = 1'd0;
reg basesoc_uart_tx_fifo_sink_last = 1'd0;
wire [7:0] basesoc_uart_tx_fifo_sink_payload_data;
wire basesoc_uart_tx_fifo_source_valid;
wire basesoc_uart_tx_fifo_source_ready;
wire basesoc_uart_tx_fifo_source_first;
wire basesoc_uart_tx_fifo_source_last;
wire [7:0] basesoc_uart_tx_fifo_source_payload_data;
wire basesoc_uart_tx_fifo_syncfifo_we;
wire basesoc_uart_tx_fifo_syncfifo_writable;
wire basesoc_uart_tx_fifo_syncfifo_re;
wire basesoc_uart_tx_fifo_syncfifo_readable;
wire [9:0] basesoc_uart_tx_fifo_syncfifo_din;
wire [9:0] basesoc_uart_tx_fifo_syncfifo_dout;
reg [4:0] basesoc_uart_tx_fifo_level = 5'd0;
reg basesoc_uart_tx_fifo_replace = 1'd0;
reg [3:0] basesoc_uart_tx_fifo_produce = 4'd0;
reg [3:0] basesoc_uart_tx_fifo_consume = 4'd0;
reg [3:0] basesoc_uart_tx_fifo_wrport_adr = 4'd0;
wire [9:0] basesoc_uart_tx_fifo_wrport_dat_r;
wire basesoc_uart_tx_fifo_wrport_we;
wire [9:0] basesoc_uart_tx_fifo_wrport_dat_w;
wire basesoc_uart_tx_fifo_do_read;
wire [3:0] basesoc_uart_tx_fifo_rdport_adr;
wire [9:0] basesoc_uart_tx_fifo_rdport_dat_r;
wire [7:0] basesoc_uart_tx_fifo_fifo_in_payload_data;
wire basesoc_uart_tx_fifo_fifo_in_first;
wire basesoc_uart_tx_fifo_fifo_in_last;
wire [7:0] basesoc_uart_tx_fifo_fifo_out_payload_data;
wire basesoc_uart_tx_fifo_fifo_out_first;
wire basesoc_uart_tx_fifo_fifo_out_last;
wire basesoc_uart_rx_fifo_sink_valid;
wire basesoc_uart_rx_fifo_sink_ready;
wire basesoc_uart_rx_fifo_sink_first;
wire basesoc_uart_rx_fifo_sink_last;
wire [7:0] basesoc_uart_rx_fifo_sink_payload_data;
wire basesoc_uart_rx_fifo_source_valid;
wire basesoc_uart_rx_fifo_source_ready;
wire basesoc_uart_rx_fifo_source_first;
wire basesoc_uart_rx_fifo_source_last;
wire [7:0] basesoc_uart_rx_fifo_source_payload_data;
wire basesoc_uart_rx_fifo_syncfifo_we;
wire basesoc_uart_rx_fifo_syncfifo_writable;
wire basesoc_uart_rx_fifo_syncfifo_re;
wire basesoc_uart_rx_fifo_syncfifo_readable;
wire [9:0] basesoc_uart_rx_fifo_syncfifo_din;
wire [9:0] basesoc_uart_rx_fifo_syncfifo_dout;
reg [4:0] basesoc_uart_rx_fifo_level = 5'd0;
reg basesoc_uart_rx_fifo_replace = 1'd0;
reg [3:0] basesoc_uart_rx_fifo_produce = 4'd0;
reg [3:0] basesoc_uart_rx_fifo_consume = 4'd0;
reg [3:0] basesoc_uart_rx_fifo_wrport_adr = 4'd0;
wire [9:0] basesoc_uart_rx_fifo_wrport_dat_r;
wire basesoc_uart_rx_fifo_wrport_we;
wire [9:0] basesoc_uart_rx_fifo_wrport_dat_w;
wire basesoc_uart_rx_fifo_do_read;
wire [3:0] basesoc_uart_rx_fifo_rdport_adr;
wire [9:0] basesoc_uart_rx_fifo_rdport_dat_r;
wire [7:0] basesoc_uart_rx_fifo_fifo_in_payload_data;
wire basesoc_uart_rx_fifo_fifo_in_first;
wire basesoc_uart_rx_fifo_fifo_in_last;
wire [7:0] basesoc_uart_rx_fifo_fifo_out_payload_data;
wire basesoc_uart_rx_fifo_fifo_out_first;
wire basesoc_uart_rx_fifo_fifo_out_last;
reg basesoc_uart_reset = 1'd0;
reg [31:0] basesoc_timer0_load_storage_full = 32'd0;
wire [31:0] basesoc_timer0_load_storage;
reg basesoc_timer0_load_re = 1'd0;
reg [31:0] basesoc_timer0_reload_storage_full = 32'd0;
wire [31:0] basesoc_timer0_reload_storage;
reg basesoc_timer0_reload_re = 1'd0;
reg basesoc_timer0_en_storage_full = 1'd0;
wire basesoc_timer0_en_storage;
reg basesoc_timer0_en_re = 1'd0;
wire basesoc_timer0_update_value_re;
wire basesoc_timer0_update_value_r;
reg basesoc_timer0_update_value_w = 1'd0;
reg [31:0] basesoc_timer0_value_status = 32'd0;
wire basesoc_timer0_irq;
wire basesoc_timer0_zero_status;
reg basesoc_timer0_zero_pending = 1'd0;
wire basesoc_timer0_zero_trigger;
reg basesoc_timer0_zero_clear = 1'd0;
reg basesoc_timer0_zero_old_trigger = 1'd0;
wire basesoc_timer0_eventmanager_status_re;
wire basesoc_timer0_eventmanager_status_r;
wire basesoc_timer0_eventmanager_status_w;
wire basesoc_timer0_eventmanager_pending_re;
wire basesoc_timer0_eventmanager_pending_r;
wire basesoc_timer0_eventmanager_pending_w;
reg basesoc_timer0_eventmanager_storage_full = 1'd0;
wire basesoc_timer0_eventmanager_storage;
reg basesoc_timer0_eventmanager_re = 1'd0;
reg [31:0] basesoc_timer0_value = 32'd0;
wire [29:0] interface0_wb_sdram_adr;
wire [31:0] interface0_wb_sdram_dat_w;
reg [31:0] interface0_wb_sdram_dat_r = 32'd0;
wire [3:0] interface0_wb_sdram_sel;
wire interface0_wb_sdram_cyc;
wire interface0_wb_sdram_stb;
reg interface0_wb_sdram_ack = 1'd0;
wire interface0_wb_sdram_we;
wire [2:0] interface0_wb_sdram_cti;
wire [1:0] interface0_wb_sdram_bte;
reg interface0_wb_sdram_err = 1'd0;
wire sys_clk;
wire sys_rst;
wire sys4x_clk;
wire sys4x_dqs_clk;
wire clk200_clk;
wire clk200_rst;
wire clk50_clk;
wire clk50_rst;
wire pll_locked;
wire pll_fb;
wire pll_sys;
wire pll_sys4x;
wire pll_sys4x_dqs;
wire pll_clk200;
wire pll_clk50;
reg [3:0] reset_counter = 4'd15;
reg ic_reset = 1'd1;
wire eth_clk;
reg [3:0] a7ddrphy_half_sys8x_taps_storage_full = 4'd8;
wire [3:0] a7ddrphy_half_sys8x_taps_storage;
reg a7ddrphy_half_sys8x_taps_re = 1'd0;
reg [1:0] a7ddrphy_dly_sel_storage_full = 2'd0;
wire [1:0] a7ddrphy_dly_sel_storage;
reg a7ddrphy_dly_sel_re = 1'd0;
wire a7ddrphy_rdly_dq_rst_re;
wire a7ddrphy_rdly_dq_rst_r;
reg a7ddrphy_rdly_dq_rst_w = 1'd0;
wire a7ddrphy_rdly_dq_inc_re;
wire a7ddrphy_rdly_dq_inc_r;
reg a7ddrphy_rdly_dq_inc_w = 1'd0;
wire a7ddrphy_rdly_dq_bitslip_rst_re;
wire a7ddrphy_rdly_dq_bitslip_rst_r;
reg a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0;
wire a7ddrphy_rdly_dq_bitslip_re;
wire a7ddrphy_rdly_dq_bitslip_r;
reg a7ddrphy_rdly_dq_bitslip_w = 1'd0;
wire [13:0] a7ddrphy_dfi_p0_address;
wire [2:0] a7ddrphy_dfi_p0_bank;
wire a7ddrphy_dfi_p0_cas_n;
wire a7ddrphy_dfi_p0_cs_n;
wire a7ddrphy_dfi_p0_ras_n;
wire a7ddrphy_dfi_p0_we_n;
wire a7ddrphy_dfi_p0_cke;
wire a7ddrphy_dfi_p0_odt;
wire a7ddrphy_dfi_p0_reset_n;
wire [31:0] a7ddrphy_dfi_p0_wrdata;
wire a7ddrphy_dfi_p0_wrdata_en;
wire [3:0] a7ddrphy_dfi_p0_wrdata_mask;
wire a7ddrphy_dfi_p0_rddata_en;
reg [31:0] a7ddrphy_dfi_p0_rddata = 32'd0;
reg a7ddrphy_dfi_p0_rddata_valid = 1'd0;
wire [13:0] a7ddrphy_dfi_p1_address;
wire [2:0] a7ddrphy_dfi_p1_bank;
wire a7ddrphy_dfi_p1_cas_n;
wire a7ddrphy_dfi_p1_cs_n;
wire a7ddrphy_dfi_p1_ras_n;
wire a7ddrphy_dfi_p1_we_n;
wire a7ddrphy_dfi_p1_cke;
wire a7ddrphy_dfi_p1_odt;
wire a7ddrphy_dfi_p1_reset_n;
wire [31:0] a7ddrphy_dfi_p1_wrdata;
wire a7ddrphy_dfi_p1_wrdata_en;
wire [3:0] a7ddrphy_dfi_p1_wrdata_mask;
wire a7ddrphy_dfi_p1_rddata_en;
reg [31:0] a7ddrphy_dfi_p1_rddata = 32'd0;
reg a7ddrphy_dfi_p1_rddata_valid = 1'd0;
wire [13:0] a7ddrphy_dfi_p2_address;
wire [2:0] a7ddrphy_dfi_p2_bank;
wire a7ddrphy_dfi_p2_cas_n;
wire a7ddrphy_dfi_p2_cs_n;
wire a7ddrphy_dfi_p2_ras_n;
wire a7ddrphy_dfi_p2_we_n;
wire a7ddrphy_dfi_p2_cke;
wire a7ddrphy_dfi_p2_odt;
wire a7ddrphy_dfi_p2_reset_n;
wire [31:0] a7ddrphy_dfi_p2_wrdata;
wire a7ddrphy_dfi_p2_wrdata_en;
wire [3:0] a7ddrphy_dfi_p2_wrdata_mask;
wire a7ddrphy_dfi_p2_rddata_en;
reg [31:0] a7ddrphy_dfi_p2_rddata = 32'd0;
reg a7ddrphy_dfi_p2_rddata_valid = 1'd0;
wire [13:0] a7ddrphy_dfi_p3_address;
wire [2:0] a7ddrphy_dfi_p3_bank;
wire a7ddrphy_dfi_p3_cas_n;
wire a7ddrphy_dfi_p3_cs_n;
wire a7ddrphy_dfi_p3_ras_n;
wire a7ddrphy_dfi_p3_we_n;
wire a7ddrphy_dfi_p3_cke;
wire a7ddrphy_dfi_p3_odt;
wire a7ddrphy_dfi_p3_reset_n;
wire [31:0] a7ddrphy_dfi_p3_wrdata;
wire a7ddrphy_dfi_p3_wrdata_en;
wire [3:0] a7ddrphy_dfi_p3_wrdata_mask;
wire a7ddrphy_dfi_p3_rddata_en;
reg [31:0] a7ddrphy_dfi_p3_rddata = 32'd0;
reg a7ddrphy_dfi_p3_rddata_valid = 1'd0;
wire a7ddrphy_sd_clk_se;
reg a7ddrphy_oe_dqs = 1'd0;
wire a7ddrphy_dqs_preamble;
wire a7ddrphy_dqs_postamble;
reg [7:0] a7ddrphy_dqs_serdes_pattern = 8'd85;
wire a7ddrphy_dqs_nodelay0;
wire a7ddrphy_dqs_t0;
wire a7ddrphy0;
wire a7ddrphy_dqs_nodelay1;
wire a7ddrphy_dqs_t1;
wire a7ddrphy1;
reg a7ddrphy_oe_dq = 1'd0;
wire a7ddrphy_dq_o_nodelay0;
wire a7ddrphy_dq_i_nodelay0;
wire a7ddrphy_dq_i_delayed0;
wire a7ddrphy_dq_t0;
wire [7:0] a7ddrphy_dq_i_data0;
wire a7ddrphy_dq_o_nodelay1;
wire a7ddrphy_dq_i_nodelay1;
wire a7ddrphy_dq_i_delayed1;
wire a7ddrphy_dq_t1;
wire [7:0] a7ddrphy_dq_i_data1;
wire a7ddrphy_dq_o_nodelay2;
wire a7ddrphy_dq_i_nodelay2;
wire a7ddrphy_dq_i_delayed2;
wire a7ddrphy_dq_t2;
wire [7:0] a7ddrphy_dq_i_data2;
wire a7ddrphy_dq_o_nodelay3;
wire a7ddrphy_dq_i_nodelay3;
wire a7ddrphy_dq_i_delayed3;
wire a7ddrphy_dq_t3;
wire [7:0] a7ddrphy_dq_i_data3;
wire a7ddrphy_dq_o_nodelay4;
wire a7ddrphy_dq_i_nodelay4;
wire a7ddrphy_dq_i_delayed4;
wire a7ddrphy_dq_t4;
wire [7:0] a7ddrphy_dq_i_data4;
wire a7ddrphy_dq_o_nodelay5;
wire a7ddrphy_dq_i_nodelay5;
wire a7ddrphy_dq_i_delayed5;
wire a7ddrphy_dq_t5;
wire [7:0] a7ddrphy_dq_i_data5;
wire a7ddrphy_dq_o_nodelay6;
wire a7ddrphy_dq_i_nodelay6;
wire a7ddrphy_dq_i_delayed6;
wire a7ddrphy_dq_t6;
wire [7:0] a7ddrphy_dq_i_data6;
wire a7ddrphy_dq_o_nodelay7;
wire a7ddrphy_dq_i_nodelay7;
wire a7ddrphy_dq_i_delayed7;
wire a7ddrphy_dq_t7;
wire [7:0] a7ddrphy_dq_i_data7;
wire a7ddrphy_dq_o_nodelay8;
wire a7ddrphy_dq_i_nodelay8;
wire a7ddrphy_dq_i_delayed8;
wire a7ddrphy_dq_t8;
wire [7:0] a7ddrphy_dq_i_data8;
wire a7ddrphy_dq_o_nodelay9;
wire a7ddrphy_dq_i_nodelay9;
wire a7ddrphy_dq_i_delayed9;
wire a7ddrphy_dq_t9;
wire [7:0] a7ddrphy_dq_i_data9;
wire a7ddrphy_dq_o_nodelay10;
wire a7ddrphy_dq_i_nodelay10;
wire a7ddrphy_dq_i_delayed10;
wire a7ddrphy_dq_t10;
wire [7:0] a7ddrphy_dq_i_data10;
wire a7ddrphy_dq_o_nodelay11;
wire a7ddrphy_dq_i_nodelay11;
wire a7ddrphy_dq_i_delayed11;
wire a7ddrphy_dq_t11;
wire [7:0] a7ddrphy_dq_i_data11;
wire a7ddrphy_dq_o_nodelay12;
wire a7ddrphy_dq_i_nodelay12;
wire a7ddrphy_dq_i_delayed12;
wire a7ddrphy_dq_t12;
wire [7:0] a7ddrphy_dq_i_data12;
wire a7ddrphy_dq_o_nodelay13;
wire a7ddrphy_dq_i_nodelay13;
wire a7ddrphy_dq_i_delayed13;
wire a7ddrphy_dq_t13;
wire [7:0] a7ddrphy_dq_i_data13;
wire a7ddrphy_dq_o_nodelay14;
wire a7ddrphy_dq_i_nodelay14;
wire a7ddrphy_dq_i_delayed14;
wire a7ddrphy_dq_t14;
wire [7:0] a7ddrphy_dq_i_data14;
wire a7ddrphy_dq_o_nodelay15;
wire a7ddrphy_dq_i_nodelay15;
wire a7ddrphy_dq_i_delayed15;
wire a7ddrphy_dq_t15;
wire [7:0] a7ddrphy_dq_i_data15;
reg a7ddrphy_n_rddata_en0 = 1'd0;
reg a7ddrphy_n_rddata_en1 = 1'd0;
reg a7ddrphy_n_rddata_en2 = 1'd0;
reg a7ddrphy_n_rddata_en3 = 1'd0;
reg a7ddrphy_n_rddata_en4 = 1'd0;
wire a7ddrphy_oe;
reg [3:0] a7ddrphy_last_wrdata_en = 4'd0;
wire [13:0] sdram_inti_p0_address;
wire [2:0] sdram_inti_p0_bank;
reg sdram_inti_p0_cas_n = 1'd1;
reg sdram_inti_p0_cs_n = 1'd1;
reg sdram_inti_p0_ras_n = 1'd1;
reg sdram_inti_p0_we_n = 1'd1;
wire sdram_inti_p0_cke;
wire sdram_inti_p0_odt;
wire sdram_inti_p0_reset_n;
wire [31:0] sdram_inti_p0_wrdata;
wire sdram_inti_p0_wrdata_en;
wire [3:0] sdram_inti_p0_wrdata_mask;
wire sdram_inti_p0_rddata_en;
reg [31:0] sdram_inti_p0_rddata = 32'd0;
reg sdram_inti_p0_rddata_valid = 1'd0;
wire [13:0] sdram_inti_p1_address;
wire [2:0] sdram_inti_p1_bank;
reg sdram_inti_p1_cas_n = 1'd1;
reg sdram_inti_p1_cs_n = 1'd1;
reg sdram_inti_p1_ras_n = 1'd1;
reg sdram_inti_p1_we_n = 1'd1;
wire sdram_inti_p1_cke;
wire sdram_inti_p1_odt;
wire sdram_inti_p1_reset_n;
wire [31:0] sdram_inti_p1_wrdata;
wire sdram_inti_p1_wrdata_en;
wire [3:0] sdram_inti_p1_wrdata_mask;
wire sdram_inti_p1_rddata_en;
reg [31:0] sdram_inti_p1_rddata = 32'd0;
reg sdram_inti_p1_rddata_valid = 1'd0;
wire [13:0] sdram_inti_p2_address;
wire [2:0] sdram_inti_p2_bank;
reg sdram_inti_p2_cas_n = 1'd1;
reg sdram_inti_p2_cs_n = 1'd1;
reg sdram_inti_p2_ras_n = 1'd1;
reg sdram_inti_p2_we_n = 1'd1;
wire sdram_inti_p2_cke;
wire sdram_inti_p2_odt;
wire sdram_inti_p2_reset_n;
wire [31:0] sdram_inti_p2_wrdata;
wire sdram_inti_p2_wrdata_en;
wire [3:0] sdram_inti_p2_wrdata_mask;
wire sdram_inti_p2_rddata_en;
reg [31:0] sdram_inti_p2_rddata = 32'd0;
reg sdram_inti_p2_rddata_valid = 1'd0;
wire [13:0] sdram_inti_p3_address;
wire [2:0] sdram_inti_p3_bank;
reg sdram_inti_p3_cas_n = 1'd1;
reg sdram_inti_p3_cs_n = 1'd1;
reg sdram_inti_p3_ras_n = 1'd1;
reg sdram_inti_p3_we_n = 1'd1;
wire sdram_inti_p3_cke;
wire sdram_inti_p3_odt;
wire sdram_inti_p3_reset_n;
wire [31:0] sdram_inti_p3_wrdata;
wire sdram_inti_p3_wrdata_en;
wire [3:0] sdram_inti_p3_wrdata_mask;
wire sdram_inti_p3_rddata_en;
reg [31:0] sdram_inti_p3_rddata = 32'd0;
reg sdram_inti_p3_rddata_valid = 1'd0;
wire [13:0] sdram_slave_p0_address;
wire [2:0] sdram_slave_p0_bank;
wire sdram_slave_p0_cas_n;
wire sdram_slave_p0_cs_n;
wire sdram_slave_p0_ras_n;
wire sdram_slave_p0_we_n;
wire sdram_slave_p0_cke;
wire sdram_slave_p0_odt;
wire sdram_slave_p0_reset_n;
wire [31:0] sdram_slave_p0_wrdata;
wire sdram_slave_p0_wrdata_en;
wire [3:0] sdram_slave_p0_wrdata_mask;
wire sdram_slave_p0_rddata_en;
reg [31:0] sdram_slave_p0_rddata = 32'd0;
reg sdram_slave_p0_rddata_valid = 1'd0;
wire [13:0] sdram_slave_p1_address;
wire [2:0] sdram_slave_p1_bank;
wire sdram_slave_p1_cas_n;
wire sdram_slave_p1_cs_n;
wire sdram_slave_p1_ras_n;
wire sdram_slave_p1_we_n;
wire sdram_slave_p1_cke;
wire sdram_slave_p1_odt;
wire sdram_slave_p1_reset_n;
wire [31:0] sdram_slave_p1_wrdata;
wire sdram_slave_p1_wrdata_en;
wire [3:0] sdram_slave_p1_wrdata_mask;
wire sdram_slave_p1_rddata_en;
reg [31:0] sdram_slave_p1_rddata = 32'd0;
reg sdram_slave_p1_rddata_valid = 1'd0;
wire [13:0] sdram_slave_p2_address;
wire [2:0] sdram_slave_p2_bank;
wire sdram_slave_p2_cas_n;
wire sdram_slave_p2_cs_n;
wire sdram_slave_p2_ras_n;
wire sdram_slave_p2_we_n;
wire sdram_slave_p2_cke;
wire sdram_slave_p2_odt;
wire sdram_slave_p2_reset_n;
wire [31:0] sdram_slave_p2_wrdata;
wire sdram_slave_p2_wrdata_en;
wire [3:0] sdram_slave_p2_wrdata_mask;
wire sdram_slave_p2_rddata_en;
reg [31:0] sdram_slave_p2_rddata = 32'd0;
reg sdram_slave_p2_rddata_valid = 1'd0;
wire [13:0] sdram_slave_p3_address;
wire [2:0] sdram_slave_p3_bank;
wire sdram_slave_p3_cas_n;
wire sdram_slave_p3_cs_n;
wire sdram_slave_p3_ras_n;
wire sdram_slave_p3_we_n;
wire sdram_slave_p3_cke;
wire sdram_slave_p3_odt;
wire sdram_slave_p3_reset_n;
wire [31:0] sdram_slave_p3_wrdata;
wire sdram_slave_p3_wrdata_en;
wire [3:0] sdram_slave_p3_wrdata_mask;
wire sdram_slave_p3_rddata_en;
reg [31:0] sdram_slave_p3_rddata = 32'd0;
reg sdram_slave_p3_rddata_valid = 1'd0;
reg [13:0] sdram_master_p0_address = 14'd0;
reg [2:0] sdram_master_p0_bank = 3'd0;
reg sdram_master_p0_cas_n = 1'd1;
reg sdram_master_p0_cs_n = 1'd1;
reg sdram_master_p0_ras_n = 1'd1;
reg sdram_master_p0_we_n = 1'd1;
reg sdram_master_p0_cke = 1'd0;
reg sdram_master_p0_odt = 1'd0;
reg sdram_master_p0_reset_n = 1'd0;
reg [31:0] sdram_master_p0_wrdata = 32'd0;
reg sdram_master_p0_wrdata_en = 1'd0;
reg [3:0] sdram_master_p0_wrdata_mask = 4'd0;
reg sdram_master_p0_rddata_en = 1'd0;
wire [31:0] sdram_master_p0_rddata;
wire sdram_master_p0_rddata_valid;
reg [13:0] sdram_master_p1_address = 14'd0;
reg [2:0] sdram_master_p1_bank = 3'd0;
reg sdram_master_p1_cas_n = 1'd1;
reg sdram_master_p1_cs_n = 1'd1;
reg sdram_master_p1_ras_n = 1'd1;
reg sdram_master_p1_we_n = 1'd1;
reg sdram_master_p1_cke = 1'd0;
reg sdram_master_p1_odt = 1'd0;
reg sdram_master_p1_reset_n = 1'd0;
reg [31:0] sdram_master_p1_wrdata = 32'd0;
reg sdram_master_p1_wrdata_en = 1'd0;
reg [3:0] sdram_master_p1_wrdata_mask = 4'd0;
reg sdram_master_p1_rddata_en = 1'd0;
wire [31:0] sdram_master_p1_rddata;
wire sdram_master_p1_rddata_valid;
reg [13:0] sdram_master_p2_address = 14'd0;
reg [2:0] sdram_master_p2_bank = 3'd0;
reg sdram_master_p2_cas_n = 1'd1;
reg sdram_master_p2_cs_n = 1'd1;
reg sdram_master_p2_ras_n = 1'd1;
reg sdram_master_p2_we_n = 1'd1;
reg sdram_master_p2_cke = 1'd0;
reg sdram_master_p2_odt = 1'd0;
reg sdram_master_p2_reset_n = 1'd0;
reg [31:0] sdram_master_p2_wrdata = 32'd0;
reg sdram_master_p2_wrdata_en = 1'd0;
reg [3:0] sdram_master_p2_wrdata_mask = 4'd0;
reg sdram_master_p2_rddata_en = 1'd0;
wire [31:0] sdram_master_p2_rddata;
wire sdram_master_p2_rddata_valid;
reg [13:0] sdram_master_p3_address = 14'd0;
reg [2:0] sdram_master_p3_bank = 3'd0;
reg sdram_master_p3_cas_n = 1'd1;
reg sdram_master_p3_cs_n = 1'd1;
reg sdram_master_p3_ras_n = 1'd1;
reg sdram_master_p3_we_n = 1'd1;
reg sdram_master_p3_cke = 1'd0;
reg sdram_master_p3_odt = 1'd0;
reg sdram_master_p3_reset_n = 1'd0;
reg [31:0] sdram_master_p3_wrdata = 32'd0;
reg sdram_master_p3_wrdata_en = 1'd0;
reg [3:0] sdram_master_p3_wrdata_mask = 4'd0;
reg sdram_master_p3_rddata_en = 1'd0;
wire [31:0] sdram_master_p3_rddata;
wire sdram_master_p3_rddata_valid;
reg [3:0] sdram_storage_full = 4'd0;
wire [3:0] sdram_storage;
reg sdram_re = 1'd0;
reg [5:0] sdram_phaseinjector0_command_storage_full = 6'd0;
wire [5:0] sdram_phaseinjector0_command_storage;
reg sdram_phaseinjector0_command_re = 1'd0;
wire sdram_phaseinjector0_command_issue_re;
wire sdram_phaseinjector0_command_issue_r;
reg sdram_phaseinjector0_command_issue_w = 1'd0;
reg [13:0] sdram_phaseinjector0_address_storage_full = 14'd0;
wire [13:0] sdram_phaseinjector0_address_storage;
reg sdram_phaseinjector0_address_re = 1'd0;
reg [2:0] sdram_phaseinjector0_baddress_storage_full = 3'd0;
wire [2:0] sdram_phaseinjector0_baddress_storage;
reg sdram_phaseinjector0_baddress_re = 1'd0;
reg [31:0] sdram_phaseinjector0_wrdata_storage_full = 32'd0;
wire [31:0] sdram_phaseinjector0_wrdata_storage;
reg sdram_phaseinjector0_wrdata_re = 1'd0;
reg [31:0] sdram_phaseinjector0_status = 32'd0;
reg [5:0] sdram_phaseinjector1_command_storage_full = 6'd0;
wire [5:0] sdram_phaseinjector1_command_storage;
reg sdram_phaseinjector1_command_re = 1'd0;
wire sdram_phaseinjector1_command_issue_re;
wire sdram_phaseinjector1_command_issue_r;
reg sdram_phaseinjector1_command_issue_w = 1'd0;
reg [13:0] sdram_phaseinjector1_address_storage_full = 14'd0;
wire [13:0] sdram_phaseinjector1_address_storage;
reg sdram_phaseinjector1_address_re = 1'd0;
reg [2:0] sdram_phaseinjector1_baddress_storage_full = 3'd0;
wire [2:0] sdram_phaseinjector1_baddress_storage;
reg sdram_phaseinjector1_baddress_re = 1'd0;
reg [31:0] sdram_phaseinjector1_wrdata_storage_full = 32'd0;
wire [31:0] sdram_phaseinjector1_wrdata_storage;
reg sdram_phaseinjector1_wrdata_re = 1'd0;
reg [31:0] sdram_phaseinjector1_status = 32'd0;
reg [5:0] sdram_phaseinjector2_command_storage_full = 6'd0;
wire [5:0] sdram_phaseinjector2_command_storage;
reg sdram_phaseinjector2_command_re = 1'd0;
wire sdram_phaseinjector2_command_issue_re;
wire sdram_phaseinjector2_command_issue_r;
reg sdram_phaseinjector2_command_issue_w = 1'd0;
reg [13:0] sdram_phaseinjector2_address_storage_full = 14'd0;
wire [13:0] sdram_phaseinjector2_address_storage;
reg sdram_phaseinjector2_address_re = 1'd0;
reg [2:0] sdram_phaseinjector2_baddress_storage_full = 3'd0;
wire [2:0] sdram_phaseinjector2_baddress_storage;
reg sdram_phaseinjector2_baddress_re = 1'd0;
reg [31:0] sdram_phaseinjector2_wrdata_storage_full = 32'd0;
wire [31:0] sdram_phaseinjector2_wrdata_storage;
reg sdram_phaseinjector2_wrdata_re = 1'd0;
reg [31:0] sdram_phaseinjector2_status = 32'd0;
reg [5:0] sdram_phaseinjector3_command_storage_full = 6'd0;
wire [5:0] sdram_phaseinjector3_command_storage;
reg sdram_phaseinjector3_command_re = 1'd0;
wire sdram_phaseinjector3_command_issue_re;
wire sdram_phaseinjector3_command_issue_r;
reg sdram_phaseinjector3_command_issue_w = 1'd0;
reg [13:0] sdram_phaseinjector3_address_storage_full = 14'd0;
wire [13:0] sdram_phaseinjector3_address_storage;
reg sdram_phaseinjector3_address_re = 1'd0;
reg [2:0] sdram_phaseinjector3_baddress_storage_full = 3'd0;
wire [2:0] sdram_phaseinjector3_baddress_storage;
reg sdram_phaseinjector3_baddress_re = 1'd0;
reg [31:0] sdram_phaseinjector3_wrdata_storage_full = 32'd0;
wire [31:0] sdram_phaseinjector3_wrdata_storage;
reg sdram_phaseinjector3_wrdata_re = 1'd0;
reg [31:0] sdram_phaseinjector3_status = 32'd0;
reg [13:0] sdram_dfi_p0_address = 14'd0;
reg [2:0] sdram_dfi_p0_bank = 3'd0;
reg sdram_dfi_p0_cas_n = 1'd1;
reg sdram_dfi_p0_cs_n = 1'd1;
reg sdram_dfi_p0_ras_n = 1'd1;
reg sdram_dfi_p0_we_n = 1'd1;
wire sdram_dfi_p0_cke;
wire sdram_dfi_p0_odt;
wire sdram_dfi_p0_reset_n;
wire [31:0] sdram_dfi_p0_wrdata;
reg sdram_dfi_p0_wrdata_en = 1'd0;
wire [3:0] sdram_dfi_p0_wrdata_mask;
reg sdram_dfi_p0_rddata_en = 1'd0;
wire [31:0] sdram_dfi_p0_rddata;
wire sdram_dfi_p0_rddata_valid;
reg [13:0] sdram_dfi_p1_address = 14'd0;
reg [2:0] sdram_dfi_p1_bank = 3'd0;
reg sdram_dfi_p1_cas_n = 1'd1;
reg sdram_dfi_p1_cs_n = 1'd1;
reg sdram_dfi_p1_ras_n = 1'd1;
reg sdram_dfi_p1_we_n = 1'd1;
wire sdram_dfi_p1_cke;
wire sdram_dfi_p1_odt;
wire sdram_dfi_p1_reset_n;
wire [31:0] sdram_dfi_p1_wrdata;
reg sdram_dfi_p1_wrdata_en = 1'd0;
wire [3:0] sdram_dfi_p1_wrdata_mask;
reg sdram_dfi_p1_rddata_en = 1'd0;
wire [31:0] sdram_dfi_p1_rddata;
wire sdram_dfi_p1_rddata_valid;
reg [13:0] sdram_dfi_p2_address = 14'd0;
reg [2:0] sdram_dfi_p2_bank = 3'd0;
reg sdram_dfi_p2_cas_n = 1'd1;
reg sdram_dfi_p2_cs_n = 1'd1;
reg sdram_dfi_p2_ras_n = 1'd1;
reg sdram_dfi_p2_we_n = 1'd1;
wire sdram_dfi_p2_cke;
wire sdram_dfi_p2_odt;
wire sdram_dfi_p2_reset_n;
wire [31:0] sdram_dfi_p2_wrdata;
reg sdram_dfi_p2_wrdata_en = 1'd0;
wire [3:0] sdram_dfi_p2_wrdata_mask;
reg sdram_dfi_p2_rddata_en = 1'd0;
wire [31:0] sdram_dfi_p2_rddata;
wire sdram_dfi_p2_rddata_valid;
reg [13:0] sdram_dfi_p3_address = 14'd0;
reg [2:0] sdram_dfi_p3_bank = 3'd0;
reg sdram_dfi_p3_cas_n = 1'd1;
reg sdram_dfi_p3_cs_n = 1'd1;
reg sdram_dfi_p3_ras_n = 1'd1;
reg sdram_dfi_p3_we_n = 1'd1;
wire sdram_dfi_p3_cke;
wire sdram_dfi_p3_odt;
wire sdram_dfi_p3_reset_n;
wire [31:0] sdram_dfi_p3_wrdata;
reg sdram_dfi_p3_wrdata_en = 1'd0;
wire [3:0] sdram_dfi_p3_wrdata_mask;
reg sdram_dfi_p3_rddata_en = 1'd0;
wire [31:0] sdram_dfi_p3_rddata;
wire sdram_dfi_p3_rddata_valid;
wire sdram_interface_bank0_valid;
wire sdram_interface_bank0_ready;
wire sdram_interface_bank0_we;
wire [20:0] sdram_interface_bank0_addr;
wire sdram_interface_bank0_lock;
wire sdram_interface_bank0_wdata_ready;
wire sdram_interface_bank0_rdata_valid;
wire sdram_interface_bank1_valid;
wire sdram_interface_bank1_ready;
wire sdram_interface_bank1_we;
wire [20:0] sdram_interface_bank1_addr;
wire sdram_interface_bank1_lock;
wire sdram_interface_bank1_wdata_ready;
wire sdram_interface_bank1_rdata_valid;
wire sdram_interface_bank2_valid;
wire sdram_interface_bank2_ready;
wire sdram_interface_bank2_we;
wire [20:0] sdram_interface_bank2_addr;
wire sdram_interface_bank2_lock;
wire sdram_interface_bank2_wdata_ready;
wire sdram_interface_bank2_rdata_valid;
wire sdram_interface_bank3_valid;
wire sdram_interface_bank3_ready;
wire sdram_interface_bank3_we;
wire [20:0] sdram_interface_bank3_addr;
wire sdram_interface_bank3_lock;
wire sdram_interface_bank3_wdata_ready;
wire sdram_interface_bank3_rdata_valid;
wire sdram_interface_bank4_valid;
wire sdram_interface_bank4_ready;
wire sdram_interface_bank4_we;
wire [20:0] sdram_interface_bank4_addr;
wire sdram_interface_bank4_lock;
wire sdram_interface_bank4_wdata_ready;
wire sdram_interface_bank4_rdata_valid;
wire sdram_interface_bank5_valid;
wire sdram_interface_bank5_ready;
wire sdram_interface_bank5_we;
wire [20:0] sdram_interface_bank5_addr;
wire sdram_interface_bank5_lock;
wire sdram_interface_bank5_wdata_ready;
wire sdram_interface_bank5_rdata_valid;
wire sdram_interface_bank6_valid;
wire sdram_interface_bank6_ready;
wire sdram_interface_bank6_we;
wire [20:0] sdram_interface_bank6_addr;
wire sdram_interface_bank6_lock;
wire sdram_interface_bank6_wdata_ready;
wire sdram_interface_bank6_rdata_valid;
wire sdram_interface_bank7_valid;
wire sdram_interface_bank7_ready;
wire sdram_interface_bank7_we;
wire [20:0] sdram_interface_bank7_addr;
wire sdram_interface_bank7_lock;
wire sdram_interface_bank7_wdata_ready;
wire sdram_interface_bank7_rdata_valid;
reg [127:0] sdram_interface_wdata = 128'd0;
reg [15:0] sdram_interface_wdata_we = 16'd0;
wire [127:0] sdram_interface_rdata;
reg sdram_cmd_valid = 1'd0;
reg sdram_cmd_ready = 1'd0;
reg sdram_cmd_last = 1'd0;
reg [13:0] sdram_cmd_payload_a = 14'd0;
reg [2:0] sdram_cmd_payload_ba = 3'd0;
reg sdram_cmd_payload_cas = 1'd0;
reg sdram_cmd_payload_ras = 1'd0;
reg sdram_cmd_payload_we = 1'd0;
reg sdram_cmd_payload_is_read = 1'd0;
reg sdram_cmd_payload_is_write = 1'd0;
reg sdram_seq_start = 1'd0;
reg sdram_seq_done = 1'd0;
reg [4:0] sdram_counter = 5'd0;
wire sdram_wait;
wire sdram_done;
reg [9:0] sdram_count = 10'd782;
wire sdram_bankmachine0_req_valid;
wire sdram_bankmachine0_req_ready;
wire sdram_bankmachine0_req_we;
wire [20:0] sdram_bankmachine0_req_addr;
wire sdram_bankmachine0_req_lock;
reg sdram_bankmachine0_req_wdata_ready = 1'd0;
reg sdram_bankmachine0_req_rdata_valid = 1'd0;
wire sdram_bankmachine0_refresh_req;
reg sdram_bankmachine0_refresh_gnt = 1'd0;
wire sdram_bankmachine0_ras_allowed;
wire sdram_bankmachine0_cas_allowed;
reg sdram_bankmachine0_cmd_valid = 1'd0;
reg sdram_bankmachine0_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine0_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine0_cmd_payload_ba;
reg sdram_bankmachine0_cmd_payload_cas = 1'd0;
reg sdram_bankmachine0_cmd_payload_ras = 1'd0;
reg sdram_bankmachine0_cmd_payload_we = 1'd0;
reg sdram_bankmachine0_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine0_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine0_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine0_auto_precharge = 1'd0;
wire sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we;
wire sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
wire sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re;
wire sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
reg [3:0] sdram_bankmachine0_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine0_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine0_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine0_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine0_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine0_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine0_cmd_buffer_sink_valid;
wire sdram_bankmachine0_cmd_buffer_sink_ready;
wire sdram_bankmachine0_cmd_buffer_sink_first;
wire sdram_bankmachine0_cmd_buffer_sink_last;
wire sdram_bankmachine0_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine0_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine0_cmd_buffer_source_valid;
wire sdram_bankmachine0_cmd_buffer_source_ready;
wire sdram_bankmachine0_cmd_buffer_source_first;
wire sdram_bankmachine0_cmd_buffer_source_last;
reg sdram_bankmachine0_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine0_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine0_cmd_buffer_pipe_ce;
wire sdram_bankmachine0_cmd_buffer_busy;
reg sdram_bankmachine0_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine0_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine0_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine0_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine0_openrow = 14'd0;
wire sdram_bankmachine0_hit;
reg sdram_bankmachine0_track_open = 1'd0;
reg sdram_bankmachine0_track_close = 1'd0;
reg sdram_bankmachine0_sel_row_addr = 1'd0;
wire sdram_bankmachine0_wait;
wire sdram_bankmachine0_done;
reg [2:0] sdram_bankmachine0_count = 3'd5;
wire sdram_bankmachine1_req_valid;
wire sdram_bankmachine1_req_ready;
wire sdram_bankmachine1_req_we;
wire [20:0] sdram_bankmachine1_req_addr;
wire sdram_bankmachine1_req_lock;
reg sdram_bankmachine1_req_wdata_ready = 1'd0;
reg sdram_bankmachine1_req_rdata_valid = 1'd0;
wire sdram_bankmachine1_refresh_req;
reg sdram_bankmachine1_refresh_gnt = 1'd0;
wire sdram_bankmachine1_ras_allowed;
wire sdram_bankmachine1_cas_allowed;
reg sdram_bankmachine1_cmd_valid = 1'd0;
reg sdram_bankmachine1_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine1_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine1_cmd_payload_ba;
reg sdram_bankmachine1_cmd_payload_cas = 1'd0;
reg sdram_bankmachine1_cmd_payload_ras = 1'd0;
reg sdram_bankmachine1_cmd_payload_we = 1'd0;
reg sdram_bankmachine1_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine1_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine1_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine1_auto_precharge = 1'd0;
wire sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we;
wire sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
wire sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re;
wire sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
reg [3:0] sdram_bankmachine1_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine1_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine1_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine1_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine1_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine1_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine1_cmd_buffer_sink_valid;
wire sdram_bankmachine1_cmd_buffer_sink_ready;
wire sdram_bankmachine1_cmd_buffer_sink_first;
wire sdram_bankmachine1_cmd_buffer_sink_last;
wire sdram_bankmachine1_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine1_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine1_cmd_buffer_source_valid;
wire sdram_bankmachine1_cmd_buffer_source_ready;
wire sdram_bankmachine1_cmd_buffer_source_first;
wire sdram_bankmachine1_cmd_buffer_source_last;
reg sdram_bankmachine1_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine1_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine1_cmd_buffer_pipe_ce;
wire sdram_bankmachine1_cmd_buffer_busy;
reg sdram_bankmachine1_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine1_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine1_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine1_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine1_openrow = 14'd0;
wire sdram_bankmachine1_hit;
reg sdram_bankmachine1_track_open = 1'd0;
reg sdram_bankmachine1_track_close = 1'd0;
reg sdram_bankmachine1_sel_row_addr = 1'd0;
wire sdram_bankmachine1_wait;
wire sdram_bankmachine1_done;
reg [2:0] sdram_bankmachine1_count = 3'd5;
wire sdram_bankmachine2_req_valid;
wire sdram_bankmachine2_req_ready;
wire sdram_bankmachine2_req_we;
wire [20:0] sdram_bankmachine2_req_addr;
wire sdram_bankmachine2_req_lock;
reg sdram_bankmachine2_req_wdata_ready = 1'd0;
reg sdram_bankmachine2_req_rdata_valid = 1'd0;
wire sdram_bankmachine2_refresh_req;
reg sdram_bankmachine2_refresh_gnt = 1'd0;
wire sdram_bankmachine2_ras_allowed;
wire sdram_bankmachine2_cas_allowed;
reg sdram_bankmachine2_cmd_valid = 1'd0;
reg sdram_bankmachine2_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine2_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine2_cmd_payload_ba;
reg sdram_bankmachine2_cmd_payload_cas = 1'd0;
reg sdram_bankmachine2_cmd_payload_ras = 1'd0;
reg sdram_bankmachine2_cmd_payload_we = 1'd0;
reg sdram_bankmachine2_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine2_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine2_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine2_auto_precharge = 1'd0;
wire sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we;
wire sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
wire sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re;
wire sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
reg [3:0] sdram_bankmachine2_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine2_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine2_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine2_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine2_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine2_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine2_cmd_buffer_sink_valid;
wire sdram_bankmachine2_cmd_buffer_sink_ready;
wire sdram_bankmachine2_cmd_buffer_sink_first;
wire sdram_bankmachine2_cmd_buffer_sink_last;
wire sdram_bankmachine2_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine2_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine2_cmd_buffer_source_valid;
wire sdram_bankmachine2_cmd_buffer_source_ready;
wire sdram_bankmachine2_cmd_buffer_source_first;
wire sdram_bankmachine2_cmd_buffer_source_last;
reg sdram_bankmachine2_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine2_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine2_cmd_buffer_pipe_ce;
wire sdram_bankmachine2_cmd_buffer_busy;
reg sdram_bankmachine2_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine2_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine2_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine2_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine2_openrow = 14'd0;
wire sdram_bankmachine2_hit;
reg sdram_bankmachine2_track_open = 1'd0;
reg sdram_bankmachine2_track_close = 1'd0;
reg sdram_bankmachine2_sel_row_addr = 1'd0;
wire sdram_bankmachine2_wait;
wire sdram_bankmachine2_done;
reg [2:0] sdram_bankmachine2_count = 3'd5;
wire sdram_bankmachine3_req_valid;
wire sdram_bankmachine3_req_ready;
wire sdram_bankmachine3_req_we;
wire [20:0] sdram_bankmachine3_req_addr;
wire sdram_bankmachine3_req_lock;
reg sdram_bankmachine3_req_wdata_ready = 1'd0;
reg sdram_bankmachine3_req_rdata_valid = 1'd0;
wire sdram_bankmachine3_refresh_req;
reg sdram_bankmachine3_refresh_gnt = 1'd0;
wire sdram_bankmachine3_ras_allowed;
wire sdram_bankmachine3_cas_allowed;
reg sdram_bankmachine3_cmd_valid = 1'd0;
reg sdram_bankmachine3_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine3_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine3_cmd_payload_ba;
reg sdram_bankmachine3_cmd_payload_cas = 1'd0;
reg sdram_bankmachine3_cmd_payload_ras = 1'd0;
reg sdram_bankmachine3_cmd_payload_we = 1'd0;
reg sdram_bankmachine3_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine3_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine3_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine3_auto_precharge = 1'd0;
wire sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we;
wire sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
wire sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re;
wire sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
reg [3:0] sdram_bankmachine3_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine3_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine3_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine3_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine3_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine3_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine3_cmd_buffer_sink_valid;
wire sdram_bankmachine3_cmd_buffer_sink_ready;
wire sdram_bankmachine3_cmd_buffer_sink_first;
wire sdram_bankmachine3_cmd_buffer_sink_last;
wire sdram_bankmachine3_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine3_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine3_cmd_buffer_source_valid;
wire sdram_bankmachine3_cmd_buffer_source_ready;
wire sdram_bankmachine3_cmd_buffer_source_first;
wire sdram_bankmachine3_cmd_buffer_source_last;
reg sdram_bankmachine3_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine3_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine3_cmd_buffer_pipe_ce;
wire sdram_bankmachine3_cmd_buffer_busy;
reg sdram_bankmachine3_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine3_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine3_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine3_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine3_openrow = 14'd0;
wire sdram_bankmachine3_hit;
reg sdram_bankmachine3_track_open = 1'd0;
reg sdram_bankmachine3_track_close = 1'd0;
reg sdram_bankmachine3_sel_row_addr = 1'd0;
wire sdram_bankmachine3_wait;
wire sdram_bankmachine3_done;
reg [2:0] sdram_bankmachine3_count = 3'd5;
wire sdram_bankmachine4_req_valid;
wire sdram_bankmachine4_req_ready;
wire sdram_bankmachine4_req_we;
wire [20:0] sdram_bankmachine4_req_addr;
wire sdram_bankmachine4_req_lock;
reg sdram_bankmachine4_req_wdata_ready = 1'd0;
reg sdram_bankmachine4_req_rdata_valid = 1'd0;
wire sdram_bankmachine4_refresh_req;
reg sdram_bankmachine4_refresh_gnt = 1'd0;
wire sdram_bankmachine4_ras_allowed;
wire sdram_bankmachine4_cas_allowed;
reg sdram_bankmachine4_cmd_valid = 1'd0;
reg sdram_bankmachine4_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine4_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine4_cmd_payload_ba;
reg sdram_bankmachine4_cmd_payload_cas = 1'd0;
reg sdram_bankmachine4_cmd_payload_ras = 1'd0;
reg sdram_bankmachine4_cmd_payload_we = 1'd0;
reg sdram_bankmachine4_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine4_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine4_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine4_auto_precharge = 1'd0;
wire sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we;
wire sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
wire sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re;
wire sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
reg [3:0] sdram_bankmachine4_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine4_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine4_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine4_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine4_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine4_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine4_cmd_buffer_sink_valid;
wire sdram_bankmachine4_cmd_buffer_sink_ready;
wire sdram_bankmachine4_cmd_buffer_sink_first;
wire sdram_bankmachine4_cmd_buffer_sink_last;
wire sdram_bankmachine4_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine4_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine4_cmd_buffer_source_valid;
wire sdram_bankmachine4_cmd_buffer_source_ready;
wire sdram_bankmachine4_cmd_buffer_source_first;
wire sdram_bankmachine4_cmd_buffer_source_last;
reg sdram_bankmachine4_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine4_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine4_cmd_buffer_pipe_ce;
wire sdram_bankmachine4_cmd_buffer_busy;
reg sdram_bankmachine4_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine4_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine4_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine4_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine4_openrow = 14'd0;
wire sdram_bankmachine4_hit;
reg sdram_bankmachine4_track_open = 1'd0;
reg sdram_bankmachine4_track_close = 1'd0;
reg sdram_bankmachine4_sel_row_addr = 1'd0;
wire sdram_bankmachine4_wait;
wire sdram_bankmachine4_done;
reg [2:0] sdram_bankmachine4_count = 3'd5;
wire sdram_bankmachine5_req_valid;
wire sdram_bankmachine5_req_ready;
wire sdram_bankmachine5_req_we;
wire [20:0] sdram_bankmachine5_req_addr;
wire sdram_bankmachine5_req_lock;
reg sdram_bankmachine5_req_wdata_ready = 1'd0;
reg sdram_bankmachine5_req_rdata_valid = 1'd0;
wire sdram_bankmachine5_refresh_req;
reg sdram_bankmachine5_refresh_gnt = 1'd0;
wire sdram_bankmachine5_ras_allowed;
wire sdram_bankmachine5_cas_allowed;
reg sdram_bankmachine5_cmd_valid = 1'd0;
reg sdram_bankmachine5_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine5_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine5_cmd_payload_ba;
reg sdram_bankmachine5_cmd_payload_cas = 1'd0;
reg sdram_bankmachine5_cmd_payload_ras = 1'd0;
reg sdram_bankmachine5_cmd_payload_we = 1'd0;
reg sdram_bankmachine5_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine5_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine5_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine5_auto_precharge = 1'd0;
wire sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we;
wire sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
wire sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re;
wire sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
reg [3:0] sdram_bankmachine5_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine5_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine5_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine5_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine5_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine5_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine5_cmd_buffer_sink_valid;
wire sdram_bankmachine5_cmd_buffer_sink_ready;
wire sdram_bankmachine5_cmd_buffer_sink_first;
wire sdram_bankmachine5_cmd_buffer_sink_last;
wire sdram_bankmachine5_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine5_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine5_cmd_buffer_source_valid;
wire sdram_bankmachine5_cmd_buffer_source_ready;
wire sdram_bankmachine5_cmd_buffer_source_first;
wire sdram_bankmachine5_cmd_buffer_source_last;
reg sdram_bankmachine5_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine5_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine5_cmd_buffer_pipe_ce;
wire sdram_bankmachine5_cmd_buffer_busy;
reg sdram_bankmachine5_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine5_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine5_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine5_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine5_openrow = 14'd0;
wire sdram_bankmachine5_hit;
reg sdram_bankmachine5_track_open = 1'd0;
reg sdram_bankmachine5_track_close = 1'd0;
reg sdram_bankmachine5_sel_row_addr = 1'd0;
wire sdram_bankmachine5_wait;
wire sdram_bankmachine5_done;
reg [2:0] sdram_bankmachine5_count = 3'd5;
wire sdram_bankmachine6_req_valid;
wire sdram_bankmachine6_req_ready;
wire sdram_bankmachine6_req_we;
wire [20:0] sdram_bankmachine6_req_addr;
wire sdram_bankmachine6_req_lock;
reg sdram_bankmachine6_req_wdata_ready = 1'd0;
reg sdram_bankmachine6_req_rdata_valid = 1'd0;
wire sdram_bankmachine6_refresh_req;
reg sdram_bankmachine6_refresh_gnt = 1'd0;
wire sdram_bankmachine6_ras_allowed;
wire sdram_bankmachine6_cas_allowed;
reg sdram_bankmachine6_cmd_valid = 1'd0;
reg sdram_bankmachine6_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine6_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine6_cmd_payload_ba;
reg sdram_bankmachine6_cmd_payload_cas = 1'd0;
reg sdram_bankmachine6_cmd_payload_ras = 1'd0;
reg sdram_bankmachine6_cmd_payload_we = 1'd0;
reg sdram_bankmachine6_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine6_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine6_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine6_auto_precharge = 1'd0;
wire sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we;
wire sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
wire sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re;
wire sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
reg [3:0] sdram_bankmachine6_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine6_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine6_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine6_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine6_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine6_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine6_cmd_buffer_sink_valid;
wire sdram_bankmachine6_cmd_buffer_sink_ready;
wire sdram_bankmachine6_cmd_buffer_sink_first;
wire sdram_bankmachine6_cmd_buffer_sink_last;
wire sdram_bankmachine6_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine6_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine6_cmd_buffer_source_valid;
wire sdram_bankmachine6_cmd_buffer_source_ready;
wire sdram_bankmachine6_cmd_buffer_source_first;
wire sdram_bankmachine6_cmd_buffer_source_last;
reg sdram_bankmachine6_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine6_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine6_cmd_buffer_pipe_ce;
wire sdram_bankmachine6_cmd_buffer_busy;
reg sdram_bankmachine6_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine6_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine6_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine6_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine6_openrow = 14'd0;
wire sdram_bankmachine6_hit;
reg sdram_bankmachine6_track_open = 1'd0;
reg sdram_bankmachine6_track_close = 1'd0;
reg sdram_bankmachine6_sel_row_addr = 1'd0;
wire sdram_bankmachine6_wait;
wire sdram_bankmachine6_done;
reg [2:0] sdram_bankmachine6_count = 3'd5;
wire sdram_bankmachine7_req_valid;
wire sdram_bankmachine7_req_ready;
wire sdram_bankmachine7_req_we;
wire [20:0] sdram_bankmachine7_req_addr;
wire sdram_bankmachine7_req_lock;
reg sdram_bankmachine7_req_wdata_ready = 1'd0;
reg sdram_bankmachine7_req_rdata_valid = 1'd0;
wire sdram_bankmachine7_refresh_req;
reg sdram_bankmachine7_refresh_gnt = 1'd0;
wire sdram_bankmachine7_ras_allowed;
wire sdram_bankmachine7_cas_allowed;
reg sdram_bankmachine7_cmd_valid = 1'd0;
reg sdram_bankmachine7_cmd_ready = 1'd0;
reg [13:0] sdram_bankmachine7_cmd_payload_a = 14'd0;
wire [2:0] sdram_bankmachine7_cmd_payload_ba;
reg sdram_bankmachine7_cmd_payload_cas = 1'd0;
reg sdram_bankmachine7_cmd_payload_ras = 1'd0;
reg sdram_bankmachine7_cmd_payload_we = 1'd0;
reg sdram_bankmachine7_cmd_payload_is_cmd = 1'd0;
reg sdram_bankmachine7_cmd_payload_is_read = 1'd0;
reg sdram_bankmachine7_cmd_payload_is_write = 1'd0;
reg sdram_bankmachine7_auto_precharge = 1'd0;
wire sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
wire sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
reg sdram_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0;
reg sdram_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0;
wire sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_first;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_last;
wire sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
wire sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we;
wire sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
wire sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re;
wire sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
reg [3:0] sdram_bankmachine7_cmd_buffer_lookahead_level = 4'd0;
reg sdram_bankmachine7_cmd_buffer_lookahead_replace = 1'd0;
reg [2:0] sdram_bankmachine7_cmd_buffer_lookahead_produce = 3'd0;
reg [2:0] sdram_bankmachine7_cmd_buffer_lookahead_consume = 3'd0;
reg [2:0] sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr = 3'd0;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_r;
wire sdram_bankmachine7_cmd_buffer_lookahead_wrport_we;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
wire sdram_bankmachine7_cmd_buffer_lookahead_do_read;
wire [2:0] sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr;
wire [23:0] sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
wire sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
wire sdram_bankmachine7_cmd_buffer_sink_valid;
wire sdram_bankmachine7_cmd_buffer_sink_ready;
wire sdram_bankmachine7_cmd_buffer_sink_first;
wire sdram_bankmachine7_cmd_buffer_sink_last;
wire sdram_bankmachine7_cmd_buffer_sink_payload_we;
wire [20:0] sdram_bankmachine7_cmd_buffer_sink_payload_addr;
wire sdram_bankmachine7_cmd_buffer_source_valid;
wire sdram_bankmachine7_cmd_buffer_source_ready;
wire sdram_bankmachine7_cmd_buffer_source_first;
wire sdram_bankmachine7_cmd_buffer_source_last;
reg sdram_bankmachine7_cmd_buffer_source_payload_we = 1'd0;
reg [20:0] sdram_bankmachine7_cmd_buffer_source_payload_addr = 21'd0;
wire sdram_bankmachine7_cmd_buffer_pipe_ce;
wire sdram_bankmachine7_cmd_buffer_busy;
reg sdram_bankmachine7_cmd_buffer_valid_n = 1'd0;
reg sdram_bankmachine7_cmd_buffer_first_n = 1'd0;
reg sdram_bankmachine7_cmd_buffer_last_n = 1'd0;
reg sdram_bankmachine7_has_openrow = 1'd0;
reg [13:0] sdram_bankmachine7_openrow = 14'd0;
wire sdram_bankmachine7_hit;
reg sdram_bankmachine7_track_open = 1'd0;
reg sdram_bankmachine7_track_close = 1'd0;
reg sdram_bankmachine7_sel_row_addr = 1'd0;
wire sdram_bankmachine7_wait;
wire sdram_bankmachine7_done;
reg [2:0] sdram_bankmachine7_count = 3'd5;
wire sdram_ras_allowed;
wire sdram_cas_allowed;
reg sdram_choose_cmd_want_reads = 1'd0;
reg sdram_choose_cmd_want_writes = 1'd0;
reg sdram_choose_cmd_want_cmds = 1'd0;
reg sdram_choose_cmd_want_activates = 1'd0;
wire sdram_choose_cmd_cmd_valid;
reg sdram_choose_cmd_cmd_ready = 1'd0;
wire [13:0] sdram_choose_cmd_cmd_payload_a;
wire [2:0] sdram_choose_cmd_cmd_payload_ba;
reg sdram_choose_cmd_cmd_payload_cas = 1'd0;
reg sdram_choose_cmd_cmd_payload_ras = 1'd0;
reg sdram_choose_cmd_cmd_payload_we = 1'd0;
wire sdram_choose_cmd_cmd_payload_is_cmd;
wire sdram_choose_cmd_cmd_payload_is_read;
wire sdram_choose_cmd_cmd_payload_is_write;
reg [7:0] sdram_choose_cmd_valids = 8'd0;
wire [7:0] sdram_choose_cmd_request;
reg [2:0] sdram_choose_cmd_grant = 3'd0;
wire sdram_choose_cmd_ce;
reg sdram_choose_req_want_reads = 1'd0;
reg sdram_choose_req_want_writes = 1'd0;
reg sdram_choose_req_want_cmds = 1'd0;
reg sdram_choose_req_want_activates = 1'd0;
wire sdram_choose_req_cmd_valid;
reg sdram_choose_req_cmd_ready = 1'd0;
wire [13:0] sdram_choose_req_cmd_payload_a;
wire [2:0] sdram_choose_req_cmd_payload_ba;
reg sdram_choose_req_cmd_payload_cas = 1'd0;
reg sdram_choose_req_cmd_payload_ras = 1'd0;
reg sdram_choose_req_cmd_payload_we = 1'd0;
wire sdram_choose_req_cmd_payload_is_cmd;
wire sdram_choose_req_cmd_payload_is_read;
wire sdram_choose_req_cmd_payload_is_write;
reg [7:0] sdram_choose_req_valids = 8'd0;
wire [7:0] sdram_choose_req_request;
reg [2:0] sdram_choose_req_grant = 3'd0;
wire sdram_choose_req_ce;
reg [13:0] sdram_nop_a = 14'd0;
reg [2:0] sdram_nop_ba = 3'd0;
reg sdram_nop_cas = 1'd0;
reg sdram_nop_ras = 1'd0;
reg sdram_nop_we = 1'd0;
reg [1:0] sdram_sel0 = 2'd0;
reg [1:0] sdram_sel1 = 2'd0;
reg [1:0] sdram_sel2 = 2'd0;
reg [1:0] sdram_sel3 = 2'd0;
wire sdram_trrdcon_valid;
(* dont_touch = "true" *) reg sdram_trrdcon_ready = 1'd1;
reg sdram_trrdcon_count = 1'd0;
wire sdram_tfawcon_valid;
(* dont_touch = "true" *) reg sdram_tfawcon_ready = 1'd1;
wire [2:0] sdram_tfawcon_count;
reg [7:0] sdram_tfawcon_window = 8'd0;
wire sdram_tccdcon_valid;
(* dont_touch = "true" *) reg sdram_tccdcon_ready = 1'd1;
reg sdram_tccdcon_count = 1'd0;
wire sdram_twtrcon_valid;
(* dont_touch = "true" *) reg sdram_twtrcon_ready = 1'd1;
reg [1:0] sdram_twtrcon_count = 2'd0;
wire sdram_read_available;
wire sdram_write_available;
reg sdram_en0 = 1'd0;
wire sdram_max_time0;
reg [4:0] sdram_time0 = 5'd0;
reg sdram_en1 = 1'd0;
wire sdram_max_time1;
reg [3:0] sdram_time1 = 4'd0;
wire sdram_go_to_refresh;
wire [29:0] interface1_wb_sdram_adr;
wire [31:0] interface1_wb_sdram_dat_w;
wire [31:0] interface1_wb_sdram_dat_r;
wire [3:0] interface1_wb_sdram_sel;
wire interface1_wb_sdram_cyc;
wire interface1_wb_sdram_stb;
wire interface1_wb_sdram_ack;
wire interface1_wb_sdram_we;
wire [2:0] interface1_wb_sdram_cti;
wire [1:0] interface1_wb_sdram_bte;
wire interface1_wb_sdram_err;
reg port_cmd_valid = 1'd0;
wire port_cmd_ready;
reg port_cmd_payload_we = 1'd0;
wire [23:0] port_cmd_payload_addr;
reg port_wdata_valid = 1'd0;
wire port_wdata_ready;
wire [127:0] port_wdata_payload_data;
wire [15:0] port_wdata_payload_we;
wire port_rdata_valid;
reg port_rdata_ready = 1'd0;
wire [127:0] port_rdata_payload_data;
wire [29:0] interface_adr;
wire [127:0] interface_dat_w;
wire [127:0] interface_dat_r;
wire [15:0] interface_sel;
reg interface_cyc = 1'd0;
reg interface_stb = 1'd0;
reg interface_ack = 1'd0;
reg interface_we = 1'd0;
wire [8:0] data_port_adr;
wire [127:0] data_port_dat_r;
reg [15:0] data_port_we = 16'd0;
reg [127:0] data_port_dat_w = 128'd0;
reg write_from_slave = 1'd0;
reg [1:0] adr_offset_r = 2'd0;
wire [8:0] tag_port_adr;
wire [23:0] tag_port_dat_r;
reg tag_port_we = 1'd0;
wire [23:0] tag_port_dat_w;
wire [22:0] tag_do_tag;
wire tag_do_dirty;
wire [22:0] tag_di_tag;
reg tag_di_dirty = 1'd0;
reg word_clr = 1'd0;
reg word_inc = 1'd0;
reg [1:0] refresher_state = 2'd0;
reg [1:0] refresher_next_state = 2'd0;
reg [3:0] bankmachine0_state = 4'd0;
reg [3:0] bankmachine0_next_state = 4'd0;
reg [3:0] bankmachine1_state = 4'd0;
reg [3:0] bankmachine1_next_state = 4'd0;
reg [3:0] bankmachine2_state = 4'd0;
reg [3:0] bankmachine2_next_state = 4'd0;
reg [3:0] bankmachine3_state = 4'd0;
reg [3:0] bankmachine3_next_state = 4'd0;
reg [3:0] bankmachine4_state = 4'd0;
reg [3:0] bankmachine4_next_state = 4'd0;
reg [3:0] bankmachine5_state = 4'd0;
reg [3:0] bankmachine5_next_state = 4'd0;
reg [3:0] bankmachine6_state = 4'd0;
reg [3:0] bankmachine6_next_state = 4'd0;
reg [3:0] bankmachine7_state = 4'd0;
reg [3:0] bankmachine7_next_state = 4'd0;
reg [3:0] multiplexer_state = 4'd0;
reg [3:0] multiplexer_next_state = 4'd0;
wire [2:0] cba;
wire [20:0] rca;
wire roundrobin0_request;
wire roundrobin0_grant;
wire roundrobin0_ce;
wire roundrobin1_request;
wire roundrobin1_grant;
wire roundrobin1_ce;
wire roundrobin2_request;
wire roundrobin2_grant;
wire roundrobin2_ce;
wire roundrobin3_request;
wire roundrobin3_grant;
wire roundrobin3_ce;
wire roundrobin4_request;
wire roundrobin4_grant;
wire roundrobin4_ce;
wire roundrobin5_request;
wire roundrobin5_grant;
wire roundrobin5_ce;
wire roundrobin6_request;
wire roundrobin6_grant;
wire roundrobin6_ce;
wire roundrobin7_request;
wire roundrobin7_grant;
wire roundrobin7_ce;
reg [2:0] rbank = 3'd0;
reg [2:0] wbank = 3'd0;
reg new_master_wdata_ready0 = 1'd0;
reg new_master_wdata_ready1 = 1'd0;
reg new_master_wdata_ready2 = 1'd0;
reg new_master_rdata_valid0 = 1'd0;
reg new_master_rdata_valid1 = 1'd0;
reg new_master_rdata_valid2 = 1'd0;
reg new_master_rdata_valid3 = 1'd0;
reg new_master_rdata_valid4 = 1'd0;
reg new_master_rdata_valid5 = 1'd0;
reg new_master_rdata_valid6 = 1'd0;
reg [2:0] new_master_rbank0 = 3'd0;
reg [2:0] new_master_rbank1 = 3'd0;
reg [2:0] new_master_rbank2 = 3'd0;
reg [2:0] new_master_rbank3 = 3'd0;
reg [2:0] new_master_rbank4 = 3'd0;
reg [2:0] new_master_rbank5 = 3'd0;
reg [2:0] new_master_wbank0 = 3'd0;
reg [2:0] new_master_wbank1 = 3'd0;
reg [2:0] fullmemorywe_state = 3'd0;
reg [2:0] fullmemorywe_next_state = 3'd0;
reg [1:0] litedramwishbone2native_state = 2'd0;
reg [1:0] litedramwishbone2native_next_state = 2'd0;
wire wb_sdram_con_request;
wire wb_sdram_con_grant;
wire [29:0] basesoc_shared_adr;
wire [31:0] basesoc_shared_dat_w;
reg [31:0] basesoc_shared_dat_r = 32'd0;
wire [3:0] basesoc_shared_sel;
wire basesoc_shared_cyc;
wire basesoc_shared_stb;
reg basesoc_shared_ack = 1'd0;
wire basesoc_shared_we;
wire [2:0] basesoc_shared_cti;
wire [1:0] basesoc_shared_bte;
wire basesoc_shared_err;
wire [1:0] basesoc_request;
reg basesoc_grant = 1'd0;
reg [3:0] basesoc_slave_sel = 4'd0;
reg [3:0] basesoc_slave_sel_r = 4'd0;
reg basesoc_error = 1'd0;
wire basesoc_wait;
wire basesoc_done;
reg [16:0] basesoc_count = 17'd65536;
wire [13:0] basesoc_interface0_bank_bus_adr;
wire basesoc_interface0_bank_bus_we;
wire [7:0] basesoc_interface0_bank_bus_dat_w;
reg [7:0] basesoc_interface0_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank0_scratch3_re;
wire [7:0] basesoc_csrbank0_scratch3_r;
wire [7:0] basesoc_csrbank0_scratch3_w;
wire basesoc_csrbank0_scratch2_re;
wire [7:0] basesoc_csrbank0_scratch2_r;
wire [7:0] basesoc_csrbank0_scratch2_w;
wire basesoc_csrbank0_scratch1_re;
wire [7:0] basesoc_csrbank0_scratch1_r;
wire [7:0] basesoc_csrbank0_scratch1_w;
wire basesoc_csrbank0_scratch0_re;
wire [7:0] basesoc_csrbank0_scratch0_r;
wire [7:0] basesoc_csrbank0_scratch0_w;
wire basesoc_csrbank0_bus_errors3_re;
wire [7:0] basesoc_csrbank0_bus_errors3_r;
wire [7:0] basesoc_csrbank0_bus_errors3_w;
wire basesoc_csrbank0_bus_errors2_re;
wire [7:0] basesoc_csrbank0_bus_errors2_r;
wire [7:0] basesoc_csrbank0_bus_errors2_w;
wire basesoc_csrbank0_bus_errors1_re;
wire [7:0] basesoc_csrbank0_bus_errors1_r;
wire [7:0] basesoc_csrbank0_bus_errors1_w;
wire basesoc_csrbank0_bus_errors0_re;
wire [7:0] basesoc_csrbank0_bus_errors0_r;
wire [7:0] basesoc_csrbank0_bus_errors0_w;
wire basesoc_csrbank0_sel;
wire [13:0] basesoc_interface1_bank_bus_adr;
wire basesoc_interface1_bank_bus_we;
wire [7:0] basesoc_interface1_bank_bus_dat_w;
reg [7:0] basesoc_interface1_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank1_half_sys8x_taps0_re;
wire [3:0] basesoc_csrbank1_half_sys8x_taps0_r;
wire [3:0] basesoc_csrbank1_half_sys8x_taps0_w;
wire basesoc_csrbank1_dly_sel0_re;
wire [1:0] basesoc_csrbank1_dly_sel0_r;
wire [1:0] basesoc_csrbank1_dly_sel0_w;
wire basesoc_csrbank1_sel;
wire [13:0] basesoc_interface2_bank_bus_adr;
wire basesoc_interface2_bank_bus_we;
wire [7:0] basesoc_interface2_bank_bus_dat_w;
reg [7:0] basesoc_interface2_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank2_dfii_control0_re;
wire [3:0] basesoc_csrbank2_dfii_control0_r;
wire [3:0] basesoc_csrbank2_dfii_control0_w;
wire basesoc_csrbank2_dfii_pi0_command0_re;
wire [5:0] basesoc_csrbank2_dfii_pi0_command0_r;
wire [5:0] basesoc_csrbank2_dfii_pi0_command0_w;
wire basesoc_csrbank2_dfii_pi0_address1_re;
wire [5:0] basesoc_csrbank2_dfii_pi0_address1_r;
wire [5:0] basesoc_csrbank2_dfii_pi0_address1_w;
wire basesoc_csrbank2_dfii_pi0_address0_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_address0_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_address0_w;
wire basesoc_csrbank2_dfii_pi0_baddress0_re;
wire [2:0] basesoc_csrbank2_dfii_pi0_baddress0_r;
wire [2:0] basesoc_csrbank2_dfii_pi0_baddress0_w;
wire basesoc_csrbank2_dfii_pi0_wrdata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata3_w;
wire basesoc_csrbank2_dfii_pi0_wrdata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata2_w;
wire basesoc_csrbank2_dfii_pi0_wrdata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata1_w;
wire basesoc_csrbank2_dfii_pi0_wrdata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_wrdata0_w;
wire basesoc_csrbank2_dfii_pi0_rddata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata3_w;
wire basesoc_csrbank2_dfii_pi0_rddata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata2_w;
wire basesoc_csrbank2_dfii_pi0_rddata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata1_w;
wire basesoc_csrbank2_dfii_pi0_rddata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi0_rddata0_w;
wire basesoc_csrbank2_dfii_pi1_command0_re;
wire [5:0] basesoc_csrbank2_dfii_pi1_command0_r;
wire [5:0] basesoc_csrbank2_dfii_pi1_command0_w;
wire basesoc_csrbank2_dfii_pi1_address1_re;
wire [5:0] basesoc_csrbank2_dfii_pi1_address1_r;
wire [5:0] basesoc_csrbank2_dfii_pi1_address1_w;
wire basesoc_csrbank2_dfii_pi1_address0_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_address0_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_address0_w;
wire basesoc_csrbank2_dfii_pi1_baddress0_re;
wire [2:0] basesoc_csrbank2_dfii_pi1_baddress0_r;
wire [2:0] basesoc_csrbank2_dfii_pi1_baddress0_w;
wire basesoc_csrbank2_dfii_pi1_wrdata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata3_w;
wire basesoc_csrbank2_dfii_pi1_wrdata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata2_w;
wire basesoc_csrbank2_dfii_pi1_wrdata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata1_w;
wire basesoc_csrbank2_dfii_pi1_wrdata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_wrdata0_w;
wire basesoc_csrbank2_dfii_pi1_rddata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata3_w;
wire basesoc_csrbank2_dfii_pi1_rddata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata2_w;
wire basesoc_csrbank2_dfii_pi1_rddata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata1_w;
wire basesoc_csrbank2_dfii_pi1_rddata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi1_rddata0_w;
wire basesoc_csrbank2_dfii_pi2_command0_re;
wire [5:0] basesoc_csrbank2_dfii_pi2_command0_r;
wire [5:0] basesoc_csrbank2_dfii_pi2_command0_w;
wire basesoc_csrbank2_dfii_pi2_address1_re;
wire [5:0] basesoc_csrbank2_dfii_pi2_address1_r;
wire [5:0] basesoc_csrbank2_dfii_pi2_address1_w;
wire basesoc_csrbank2_dfii_pi2_address0_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_address0_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_address0_w;
wire basesoc_csrbank2_dfii_pi2_baddress0_re;
wire [2:0] basesoc_csrbank2_dfii_pi2_baddress0_r;
wire [2:0] basesoc_csrbank2_dfii_pi2_baddress0_w;
wire basesoc_csrbank2_dfii_pi2_wrdata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata3_w;
wire basesoc_csrbank2_dfii_pi2_wrdata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata2_w;
wire basesoc_csrbank2_dfii_pi2_wrdata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata1_w;
wire basesoc_csrbank2_dfii_pi2_wrdata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_wrdata0_w;
wire basesoc_csrbank2_dfii_pi2_rddata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata3_w;
wire basesoc_csrbank2_dfii_pi2_rddata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata2_w;
wire basesoc_csrbank2_dfii_pi2_rddata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata1_w;
wire basesoc_csrbank2_dfii_pi2_rddata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi2_rddata0_w;
wire basesoc_csrbank2_dfii_pi3_command0_re;
wire [5:0] basesoc_csrbank2_dfii_pi3_command0_r;
wire [5:0] basesoc_csrbank2_dfii_pi3_command0_w;
wire basesoc_csrbank2_dfii_pi3_address1_re;
wire [5:0] basesoc_csrbank2_dfii_pi3_address1_r;
wire [5:0] basesoc_csrbank2_dfii_pi3_address1_w;
wire basesoc_csrbank2_dfii_pi3_address0_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_address0_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_address0_w;
wire basesoc_csrbank2_dfii_pi3_baddress0_re;
wire [2:0] basesoc_csrbank2_dfii_pi3_baddress0_r;
wire [2:0] basesoc_csrbank2_dfii_pi3_baddress0_w;
wire basesoc_csrbank2_dfii_pi3_wrdata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata3_w;
wire basesoc_csrbank2_dfii_pi3_wrdata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata2_w;
wire basesoc_csrbank2_dfii_pi3_wrdata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata1_w;
wire basesoc_csrbank2_dfii_pi3_wrdata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_wrdata0_w;
wire basesoc_csrbank2_dfii_pi3_rddata3_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata3_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata3_w;
wire basesoc_csrbank2_dfii_pi3_rddata2_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata2_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata2_w;
wire basesoc_csrbank2_dfii_pi3_rddata1_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata1_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata1_w;
wire basesoc_csrbank2_dfii_pi3_rddata0_re;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata0_r;
wire [7:0] basesoc_csrbank2_dfii_pi3_rddata0_w;
wire basesoc_csrbank2_sel;
wire [13:0] basesoc_interface3_bank_bus_adr;
wire basesoc_interface3_bank_bus_we;
wire [7:0] basesoc_interface3_bank_bus_dat_w;
reg [7:0] basesoc_interface3_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank3_load3_re;
wire [7:0] basesoc_csrbank3_load3_r;
wire [7:0] basesoc_csrbank3_load3_w;
wire basesoc_csrbank3_load2_re;
wire [7:0] basesoc_csrbank3_load2_r;
wire [7:0] basesoc_csrbank3_load2_w;
wire basesoc_csrbank3_load1_re;
wire [7:0] basesoc_csrbank3_load1_r;
wire [7:0] basesoc_csrbank3_load1_w;
wire basesoc_csrbank3_load0_re;
wire [7:0] basesoc_csrbank3_load0_r;
wire [7:0] basesoc_csrbank3_load0_w;
wire basesoc_csrbank3_reload3_re;
wire [7:0] basesoc_csrbank3_reload3_r;
wire [7:0] basesoc_csrbank3_reload3_w;
wire basesoc_csrbank3_reload2_re;
wire [7:0] basesoc_csrbank3_reload2_r;
wire [7:0] basesoc_csrbank3_reload2_w;
wire basesoc_csrbank3_reload1_re;
wire [7:0] basesoc_csrbank3_reload1_r;
wire [7:0] basesoc_csrbank3_reload1_w;
wire basesoc_csrbank3_reload0_re;
wire [7:0] basesoc_csrbank3_reload0_r;
wire [7:0] basesoc_csrbank3_reload0_w;
wire basesoc_csrbank3_en0_re;
wire basesoc_csrbank3_en0_r;
wire basesoc_csrbank3_en0_w;
wire basesoc_csrbank3_value3_re;
wire [7:0] basesoc_csrbank3_value3_r;
wire [7:0] basesoc_csrbank3_value3_w;
wire basesoc_csrbank3_value2_re;
wire [7:0] basesoc_csrbank3_value2_r;
wire [7:0] basesoc_csrbank3_value2_w;
wire basesoc_csrbank3_value1_re;
wire [7:0] basesoc_csrbank3_value1_r;
wire [7:0] basesoc_csrbank3_value1_w;
wire basesoc_csrbank3_value0_re;
wire [7:0] basesoc_csrbank3_value0_r;
wire [7:0] basesoc_csrbank3_value0_w;
wire basesoc_csrbank3_ev_enable0_re;
wire basesoc_csrbank3_ev_enable0_r;
wire basesoc_csrbank3_ev_enable0_w;
wire basesoc_csrbank3_sel;
wire [13:0] basesoc_interface4_bank_bus_adr;
wire basesoc_interface4_bank_bus_we;
wire [7:0] basesoc_interface4_bank_bus_dat_w;
reg [7:0] basesoc_interface4_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank4_txfull_re;
wire basesoc_csrbank4_txfull_r;
wire basesoc_csrbank4_txfull_w;
wire basesoc_csrbank4_rxempty_re;
wire basesoc_csrbank4_rxempty_r;
wire basesoc_csrbank4_rxempty_w;
wire basesoc_csrbank4_ev_enable0_re;
wire [1:0] basesoc_csrbank4_ev_enable0_r;
wire [1:0] basesoc_csrbank4_ev_enable0_w;
wire basesoc_csrbank4_sel;
wire [13:0] basesoc_interface5_bank_bus_adr;
wire basesoc_interface5_bank_bus_we;
wire [7:0] basesoc_interface5_bank_bus_dat_w;
reg [7:0] basesoc_interface5_bank_bus_dat_r = 8'd0;
wire basesoc_csrbank5_tuning_word3_re;
wire [7:0] basesoc_csrbank5_tuning_word3_r;
wire [7:0] basesoc_csrbank5_tuning_word3_w;
wire basesoc_csrbank5_tuning_word2_re;
wire [7:0] basesoc_csrbank5_tuning_word2_r;
wire [7:0] basesoc_csrbank5_tuning_word2_w;
wire basesoc_csrbank5_tuning_word1_re;
wire [7:0] basesoc_csrbank5_tuning_word1_r;
wire [7:0] basesoc_csrbank5_tuning_word1_w;
wire basesoc_csrbank5_tuning_word0_re;
wire [7:0] basesoc_csrbank5_tuning_word0_r;
wire [7:0] basesoc_csrbank5_tuning_word0_w;
wire basesoc_csrbank5_sel;
reg rhs_array_muxed0 = 1'd0;
reg [13:0] rhs_array_muxed1 = 14'd0;
reg [2:0] rhs_array_muxed2 = 3'd0;
reg rhs_array_muxed3 = 1'd0;
reg rhs_array_muxed4 = 1'd0;
reg rhs_array_muxed5 = 1'd0;
reg t_array_muxed0 = 1'd0;
reg t_array_muxed1 = 1'd0;
reg t_array_muxed2 = 1'd0;
reg rhs_array_muxed6 = 1'd0;
reg [13:0] rhs_array_muxed7 = 14'd0;
reg [2:0] rhs_array_muxed8 = 3'd0;
reg rhs_array_muxed9 = 1'd0;
reg rhs_array_muxed10 = 1'd0;
reg rhs_array_muxed11 = 1'd0;
reg t_array_muxed3 = 1'd0;
reg t_array_muxed4 = 1'd0;
reg t_array_muxed5 = 1'd0;
reg [20:0] rhs_array_muxed12 = 21'd0;
reg rhs_array_muxed13 = 1'd0;
reg rhs_array_muxed14 = 1'd0;
reg [20:0] rhs_array_muxed15 = 21'd0;
reg rhs_array_muxed16 = 1'd0;
reg rhs_array_muxed17 = 1'd0;
reg [20:0] rhs_array_muxed18 = 21'd0;
reg rhs_array_muxed19 = 1'd0;
reg rhs_array_muxed20 = 1'd0;
reg [20:0] rhs_array_muxed21 = 21'd0;
reg rhs_array_muxed22 = 1'd0;
reg rhs_array_muxed23 = 1'd0;
reg [20:0] rhs_array_muxed24 = 21'd0;
reg rhs_array_muxed25 = 1'd0;
reg rhs_array_muxed26 = 1'd0;
reg [20:0] rhs_array_muxed27 = 21'd0;
reg rhs_array_muxed28 = 1'd0;
reg rhs_array_muxed29 = 1'd0;
reg [20:0] rhs_array_muxed30 = 21'd0;
reg rhs_array_muxed31 = 1'd0;
reg rhs_array_muxed32 = 1'd0;
reg [20:0] rhs_array_muxed33 = 21'd0;
reg rhs_array_muxed34 = 1'd0;
reg rhs_array_muxed35 = 1'd0;
reg [29:0] rhs_array_muxed36 = 30'd0;
reg [31:0] rhs_array_muxed37 = 32'd0;
reg [3:0] rhs_array_muxed38 = 4'd0;
reg rhs_array_muxed39 = 1'd0;
reg rhs_array_muxed40 = 1'd0;
reg rhs_array_muxed41 = 1'd0;
reg [2:0] rhs_array_muxed42 = 3'd0;
reg [1:0] rhs_array_muxed43 = 2'd0;
reg [29:0] rhs_array_muxed44 = 30'd0;
reg [31:0] rhs_array_muxed45 = 32'd0;
reg [3:0] rhs_array_muxed46 = 4'd0;
reg rhs_array_muxed47 = 1'd0;
reg rhs_array_muxed48 = 1'd0;
reg rhs_array_muxed49 = 1'd0;
reg [2:0] rhs_array_muxed50 = 3'd0;
reg [1:0] rhs_array_muxed51 = 2'd0;
reg [2:0] array_muxed0 = 3'd0;
reg [13:0] array_muxed1 = 14'd0;
reg array_muxed2 = 1'd0;
reg array_muxed3 = 1'd0;
reg array_muxed4 = 1'd0;
reg array_muxed5 = 1'd0;
reg array_muxed6 = 1'd0;
reg [2:0] array_muxed7 = 3'd0;
reg [13:0] array_muxed8 = 14'd0;
reg array_muxed9 = 1'd0;
reg array_muxed10 = 1'd0;
reg array_muxed11 = 1'd0;
reg array_muxed12 = 1'd0;
reg array_muxed13 = 1'd0;
reg [2:0] array_muxed14 = 3'd0;
reg [13:0] array_muxed15 = 14'd0;
reg array_muxed16 = 1'd0;
reg array_muxed17 = 1'd0;
reg array_muxed18 = 1'd0;
reg array_muxed19 = 1'd0;
reg array_muxed20 = 1'd0;
reg [2:0] array_muxed21 = 3'd0;
reg [13:0] array_muxed22 = 14'd0;
reg array_muxed23 = 1'd0;
reg array_muxed24 = 1'd0;
reg array_muxed25 = 1'd0;
reg array_muxed26 = 1'd0;
reg array_muxed27 = 1'd0;
(* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg regs0 = 1'd0;
(* async_reg = "true", dont_touch = "true" *) reg regs1 = 1'd0;
wire xilinxasyncresetsynchronizerimpl0;
wire xilinxasyncresetsynchronizerimpl0_rst_meta;
wire xilinxasyncresetsynchronizerimpl1;
wire xilinxasyncresetsynchronizerimpl1_rst_meta;
wire xilinxasyncresetsynchronizerimpl2;
wire xilinxasyncresetsynchronizerimpl2_rst_meta;
assign basesoc_lm32_reset = basesoc_ctrl_reset;
assign basesoc_ctrl_bus_error = basesoc_error;
always @(*) begin
basesoc_lm32_interrupt <= 32'd0;
basesoc_lm32_interrupt[1] <= basesoc_timer0_irq;
basesoc_lm32_interrupt[2] <= basesoc_uart_irq;
end
assign basesoc_ctrl_reset = basesoc_ctrl_reset_reset_re;
assign basesoc_ctrl_bus_errors_status = basesoc_ctrl_bus_errors;
assign basesoc_lm32_ibus_adr = basesoc_lm32_i_adr_o[31:2];
assign basesoc_lm32_dbus_adr = basesoc_lm32_d_adr_o[31:2];
assign basesoc_rom_adr = basesoc_rom_bus_adr[12:0];
assign basesoc_rom_bus_dat_r = basesoc_rom_dat_r;
always @(*) begin
basesoc_sram_we <= 4'd0;
basesoc_sram_we[0] <= (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & basesoc_sram_bus_we) & basesoc_sram_bus_sel[0]);
basesoc_sram_we[1] <= (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & basesoc_sram_bus_we) & basesoc_sram_bus_sel[1]);
basesoc_sram_we[2] <= (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & basesoc_sram_bus_we) & basesoc_sram_bus_sel[2]);
basesoc_sram_we[3] <= (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & basesoc_sram_bus_we) & basesoc_sram_bus_sel[3]);
end
assign basesoc_sram_adr = basesoc_sram_bus_adr[12:0];
assign basesoc_sram_bus_dat_r = basesoc_sram_dat_r;
assign basesoc_sram_dat_w = basesoc_sram_bus_dat_w;
assign basesoc_uart_tx_fifo_sink_valid = basesoc_uart_rxtx_re;
assign basesoc_uart_tx_fifo_sink_payload_data = basesoc_uart_rxtx_r;
assign basesoc_uart_txfull_status = (~basesoc_uart_tx_fifo_sink_ready);
assign basesoc_uart_phy_sink_valid = basesoc_uart_tx_fifo_source_valid;
assign basesoc_uart_tx_fifo_source_ready = basesoc_uart_phy_sink_ready;
assign basesoc_uart_phy_sink_first = basesoc_uart_tx_fifo_source_first;
assign basesoc_uart_phy_sink_last = basesoc_uart_tx_fifo_source_last;
assign basesoc_uart_phy_sink_payload_data = basesoc_uart_tx_fifo_source_payload_data;
assign basesoc_uart_tx_trigger = (~basesoc_uart_tx_fifo_sink_ready);
assign basesoc_uart_rx_fifo_sink_valid = basesoc_uart_phy_source_valid;
assign basesoc_uart_phy_source_ready = basesoc_uart_rx_fifo_sink_ready;
assign basesoc_uart_rx_fifo_sink_first = basesoc_uart_phy_source_first;
assign basesoc_uart_rx_fifo_sink_last = basesoc_uart_phy_source_last;
assign basesoc_uart_rx_fifo_sink_payload_data = basesoc_uart_phy_source_payload_data;
assign basesoc_uart_rxempty_status = (~basesoc_uart_rx_fifo_source_valid);
assign basesoc_uart_rxtx_w = basesoc_uart_rx_fifo_source_payload_data;
assign basesoc_uart_rx_fifo_source_ready = basesoc_uart_rx_clear;
assign basesoc_uart_rx_trigger = (~basesoc_uart_rx_fifo_source_valid);
always @(*) begin
basesoc_uart_tx_clear <= 1'd0;
if ((basesoc_uart_pending_re & basesoc_uart_pending_r[0])) begin
basesoc_uart_tx_clear <= 1'd1;
end
end
always @(*) begin
basesoc_uart_status_w <= 2'd0;
basesoc_uart_status_w[0] <= basesoc_uart_tx_status;
basesoc_uart_status_w[1] <= basesoc_uart_rx_status;
end
always @(*) begin
basesoc_uart_rx_clear <= 1'd0;
if ((basesoc_uart_pending_re & basesoc_uart_pending_r[1])) begin
basesoc_uart_rx_clear <= 1'd1;
end
end
always @(*) begin
basesoc_uart_pending_w <= 2'd0;
basesoc_uart_pending_w[0] <= basesoc_uart_tx_pending;
basesoc_uart_pending_w[1] <= basesoc_uart_rx_pending;
end
assign basesoc_uart_irq = ((basesoc_uart_pending_w[0] & basesoc_uart_storage[0]) | (basesoc_uart_pending_w[1] & basesoc_uart_storage[1]));
assign basesoc_uart_tx_status = basesoc_uart_tx_trigger;
assign basesoc_uart_rx_status = basesoc_uart_rx_trigger;
assign basesoc_uart_tx_fifo_syncfifo_din = {basesoc_uart_tx_fifo_fifo_in_last, basesoc_uart_tx_fifo_fifo_in_first, basesoc_uart_tx_fifo_fifo_in_payload_data};
assign {basesoc_uart_tx_fifo_fifo_out_last, basesoc_uart_tx_fifo_fifo_out_first, basesoc_uart_tx_fifo_fifo_out_payload_data} = basesoc_uart_tx_fifo_syncfifo_dout;
assign basesoc_uart_tx_fifo_sink_ready = basesoc_uart_tx_fifo_syncfifo_writable;
assign basesoc_uart_tx_fifo_syncfifo_we = basesoc_uart_tx_fifo_sink_valid;
assign basesoc_uart_tx_fifo_fifo_in_first = basesoc_uart_tx_fifo_sink_first;
assign basesoc_uart_tx_fifo_fifo_in_last = basesoc_uart_tx_fifo_sink_last;
assign basesoc_uart_tx_fifo_fifo_in_payload_data = basesoc_uart_tx_fifo_sink_payload_data;
assign basesoc_uart_tx_fifo_source_valid = basesoc_uart_tx_fifo_syncfifo_readable;
assign basesoc_uart_tx_fifo_source_first = basesoc_uart_tx_fifo_fifo_out_first;
assign basesoc_uart_tx_fifo_source_last = basesoc_uart_tx_fifo_fifo_out_last;
assign basesoc_uart_tx_fifo_source_payload_data = basesoc_uart_tx_fifo_fifo_out_payload_data;
assign basesoc_uart_tx_fifo_syncfifo_re = basesoc_uart_tx_fifo_source_ready;
always @(*) begin
basesoc_uart_tx_fifo_wrport_adr <= 4'd0;
if (basesoc_uart_tx_fifo_replace) begin
basesoc_uart_tx_fifo_wrport_adr <= (basesoc_uart_tx_fifo_produce - 1'd1);
end else begin
basesoc_uart_tx_fifo_wrport_adr <= basesoc_uart_tx_fifo_produce;
end
end
assign basesoc_uart_tx_fifo_wrport_dat_w = basesoc_uart_tx_fifo_syncfifo_din;
assign basesoc_uart_tx_fifo_wrport_we = (basesoc_uart_tx_fifo_syncfifo_we & (basesoc_uart_tx_fifo_syncfifo_writable | basesoc_uart_tx_fifo_replace));
assign basesoc_uart_tx_fifo_do_read = (basesoc_uart_tx_fifo_syncfifo_readable & basesoc_uart_tx_fifo_syncfifo_re);
assign basesoc_uart_tx_fifo_rdport_adr = basesoc_uart_tx_fifo_consume;
assign basesoc_uart_tx_fifo_syncfifo_dout = basesoc_uart_tx_fifo_rdport_dat_r;
assign basesoc_uart_tx_fifo_syncfifo_writable = (basesoc_uart_tx_fifo_level != 5'd16);
assign basesoc_uart_tx_fifo_syncfifo_readable = (basesoc_uart_tx_fifo_level != 1'd0);
assign basesoc_uart_rx_fifo_syncfifo_din = {basesoc_uart_rx_fifo_fifo_in_last, basesoc_uart_rx_fifo_fifo_in_first, basesoc_uart_rx_fifo_fifo_in_payload_data};
assign {basesoc_uart_rx_fifo_fifo_out_last, basesoc_uart_rx_fifo_fifo_out_first, basesoc_uart_rx_fifo_fifo_out_payload_data} = basesoc_uart_rx_fifo_syncfifo_dout;
assign basesoc_uart_rx_fifo_sink_ready = basesoc_uart_rx_fifo_syncfifo_writable;
assign basesoc_uart_rx_fifo_syncfifo_we = basesoc_uart_rx_fifo_sink_valid;
assign basesoc_uart_rx_fifo_fifo_in_first = basesoc_uart_rx_fifo_sink_first;
assign basesoc_uart_rx_fifo_fifo_in_last = basesoc_uart_rx_fifo_sink_last;
assign basesoc_uart_rx_fifo_fifo_in_payload_data = basesoc_uart_rx_fifo_sink_payload_data;
assign basesoc_uart_rx_fifo_source_valid = basesoc_uart_rx_fifo_syncfifo_readable;
assign basesoc_uart_rx_fifo_source_first = basesoc_uart_rx_fifo_fifo_out_first;
assign basesoc_uart_rx_fifo_source_last = basesoc_uart_rx_fifo_fifo_out_last;
assign basesoc_uart_rx_fifo_source_payload_data = basesoc_uart_rx_fifo_fifo_out_payload_data;
assign basesoc_uart_rx_fifo_syncfifo_re = basesoc_uart_rx_fifo_source_ready;
always @(*) begin
basesoc_uart_rx_fifo_wrport_adr <= 4'd0;
if (basesoc_uart_rx_fifo_replace) begin
basesoc_uart_rx_fifo_wrport_adr <= (basesoc_uart_rx_fifo_produce - 1'd1);
end else begin
basesoc_uart_rx_fifo_wrport_adr <= basesoc_uart_rx_fifo_produce;
end
end
assign basesoc_uart_rx_fifo_wrport_dat_w = basesoc_uart_rx_fifo_syncfifo_din;
assign basesoc_uart_rx_fifo_wrport_we = (basesoc_uart_rx_fifo_syncfifo_we & (basesoc_uart_rx_fifo_syncfifo_writable | basesoc_uart_rx_fifo_replace));
assign basesoc_uart_rx_fifo_do_read = (basesoc_uart_rx_fifo_syncfifo_readable & basesoc_uart_rx_fifo_syncfifo_re);
assign basesoc_uart_rx_fifo_rdport_adr = basesoc_uart_rx_fifo_consume;
assign basesoc_uart_rx_fifo_syncfifo_dout = basesoc_uart_rx_fifo_rdport_dat_r;
assign basesoc_uart_rx_fifo_syncfifo_writable = (basesoc_uart_rx_fifo_level != 5'd16);
assign basesoc_uart_rx_fifo_syncfifo_readable = (basesoc_uart_rx_fifo_level != 1'd0);
assign basesoc_timer0_zero_trigger = (basesoc_timer0_value != 1'd0);
assign basesoc_timer0_eventmanager_status_w = basesoc_timer0_zero_status;
always @(*) begin
basesoc_timer0_zero_clear <= 1'd0;
if ((basesoc_timer0_eventmanager_pending_re & basesoc_timer0_eventmanager_pending_r)) begin
basesoc_timer0_zero_clear <= 1'd1;
end
end
assign basesoc_timer0_eventmanager_pending_w = basesoc_timer0_zero_pending;
assign basesoc_timer0_irq = (basesoc_timer0_eventmanager_pending_w & basesoc_timer0_eventmanager_storage);
assign basesoc_timer0_zero_status = basesoc_timer0_zero_trigger;
always @(*) begin
a7ddrphy_dqs_serdes_pattern <= 8'd85;
if ((a7ddrphy_dqs_preamble | a7ddrphy_dqs_postamble)) begin
a7ddrphy_dqs_serdes_pattern <= 1'd0;
end else begin
a7ddrphy_dqs_serdes_pattern <= 7'd85;
end
end
always @(*) begin
a7ddrphy_dfi_p0_rddata <= 32'd0;
a7ddrphy_dfi_p0_rddata[0] <= a7ddrphy_dq_i_data0[7];
a7ddrphy_dfi_p0_rddata[16] <= a7ddrphy_dq_i_data0[6];
a7ddrphy_dfi_p0_rddata[1] <= a7ddrphy_dq_i_data1[7];
a7ddrphy_dfi_p0_rddata[17] <= a7ddrphy_dq_i_data1[6];
a7ddrphy_dfi_p0_rddata[2] <= a7ddrphy_dq_i_data2[7];
a7ddrphy_dfi_p0_rddata[18] <= a7ddrphy_dq_i_data2[6];
a7ddrphy_dfi_p0_rddata[3] <= a7ddrphy_dq_i_data3[7];
a7ddrphy_dfi_p0_rddata[19] <= a7ddrphy_dq_i_data3[6];
a7ddrphy_dfi_p0_rddata[4] <= a7ddrphy_dq_i_data4[7];
a7ddrphy_dfi_p0_rddata[20] <= a7ddrphy_dq_i_data4[6];
a7ddrphy_dfi_p0_rddata[5] <= a7ddrphy_dq_i_data5[7];
a7ddrphy_dfi_p0_rddata[21] <= a7ddrphy_dq_i_data5[6];
a7ddrphy_dfi_p0_rddata[6] <= a7ddrphy_dq_i_data6[7];
a7ddrphy_dfi_p0_rddata[22] <= a7ddrphy_dq_i_data6[6];
a7ddrphy_dfi_p0_rddata[7] <= a7ddrphy_dq_i_data7[7];
a7ddrphy_dfi_p0_rddata[23] <= a7ddrphy_dq_i_data7[6];
a7ddrphy_dfi_p0_rddata[8] <= a7ddrphy_dq_i_data8[7];
a7ddrphy_dfi_p0_rddata[24] <= a7ddrphy_dq_i_data8[6];
a7ddrphy_dfi_p0_rddata[9] <= a7ddrphy_dq_i_data9[7];
a7ddrphy_dfi_p0_rddata[25] <= a7ddrphy_dq_i_data9[6];
a7ddrphy_dfi_p0_rddata[10] <= a7ddrphy_dq_i_data10[7];
a7ddrphy_dfi_p0_rddata[26] <= a7ddrphy_dq_i_data10[6];
a7ddrphy_dfi_p0_rddata[11] <= a7ddrphy_dq_i_data11[7];
a7ddrphy_dfi_p0_rddata[27] <= a7ddrphy_dq_i_data11[6];
a7ddrphy_dfi_p0_rddata[12] <= a7ddrphy_dq_i_data12[7];
a7ddrphy_dfi_p0_rddata[28] <= a7ddrphy_dq_i_data12[6];
a7ddrphy_dfi_p0_rddata[13] <= a7ddrphy_dq_i_data13[7];
a7ddrphy_dfi_p0_rddata[29] <= a7ddrphy_dq_i_data13[6];
a7ddrphy_dfi_p0_rddata[14] <= a7ddrphy_dq_i_data14[7];
a7ddrphy_dfi_p0_rddata[30] <= a7ddrphy_dq_i_data14[6];
a7ddrphy_dfi_p0_rddata[15] <= a7ddrphy_dq_i_data15[7];
a7ddrphy_dfi_p0_rddata[31] <= a7ddrphy_dq_i_data15[6];
end
always @(*) begin
a7ddrphy_dfi_p1_rddata <= 32'd0;
a7ddrphy_dfi_p1_rddata[0] <= a7ddrphy_dq_i_data0[5];
a7ddrphy_dfi_p1_rddata[16] <= a7ddrphy_dq_i_data0[4];
a7ddrphy_dfi_p1_rddata[1] <= a7ddrphy_dq_i_data1[5];
a7ddrphy_dfi_p1_rddata[17] <= a7ddrphy_dq_i_data1[4];
a7ddrphy_dfi_p1_rddata[2] <= a7ddrphy_dq_i_data2[5];
a7ddrphy_dfi_p1_rddata[18] <= a7ddrphy_dq_i_data2[4];
a7ddrphy_dfi_p1_rddata[3] <= a7ddrphy_dq_i_data3[5];
a7ddrphy_dfi_p1_rddata[19] <= a7ddrphy_dq_i_data3[4];
a7ddrphy_dfi_p1_rddata[4] <= a7ddrphy_dq_i_data4[5];
a7ddrphy_dfi_p1_rddata[20] <= a7ddrphy_dq_i_data4[4];
a7ddrphy_dfi_p1_rddata[5] <= a7ddrphy_dq_i_data5[5];
a7ddrphy_dfi_p1_rddata[21] <= a7ddrphy_dq_i_data5[4];
a7ddrphy_dfi_p1_rddata[6] <= a7ddrphy_dq_i_data6[5];
a7ddrphy_dfi_p1_rddata[22] <= a7ddrphy_dq_i_data6[4];
a7ddrphy_dfi_p1_rddata[7] <= a7ddrphy_dq_i_data7[5];
a7ddrphy_dfi_p1_rddata[23] <= a7ddrphy_dq_i_data7[4];
a7ddrphy_dfi_p1_rddata[8] <= a7ddrphy_dq_i_data8[5];
a7ddrphy_dfi_p1_rddata[24] <= a7ddrphy_dq_i_data8[4];
a7ddrphy_dfi_p1_rddata[9] <= a7ddrphy_dq_i_data9[5];
a7ddrphy_dfi_p1_rddata[25] <= a7ddrphy_dq_i_data9[4];
a7ddrphy_dfi_p1_rddata[10] <= a7ddrphy_dq_i_data10[5];
a7ddrphy_dfi_p1_rddata[26] <= a7ddrphy_dq_i_data10[4];
a7ddrphy_dfi_p1_rddata[11] <= a7ddrphy_dq_i_data11[5];
a7ddrphy_dfi_p1_rddata[27] <= a7ddrphy_dq_i_data11[4];
a7ddrphy_dfi_p1_rddata[12] <= a7ddrphy_dq_i_data12[5];
a7ddrphy_dfi_p1_rddata[28] <= a7ddrphy_dq_i_data12[4];
a7ddrphy_dfi_p1_rddata[13] <= a7ddrphy_dq_i_data13[5];
a7ddrphy_dfi_p1_rddata[29] <= a7ddrphy_dq_i_data13[4];
a7ddrphy_dfi_p1_rddata[14] <= a7ddrphy_dq_i_data14[5];
a7ddrphy_dfi_p1_rddata[30] <= a7ddrphy_dq_i_data14[4];
a7ddrphy_dfi_p1_rddata[15] <= a7ddrphy_dq_i_data15[5];
a7ddrphy_dfi_p1_rddata[31] <= a7ddrphy_dq_i_data15[4];
end
always @(*) begin
a7ddrphy_dfi_p2_rddata <= 32'd0;
a7ddrphy_dfi_p2_rddata[0] <= a7ddrphy_dq_i_data0[3];
a7ddrphy_dfi_p2_rddata[16] <= a7ddrphy_dq_i_data0[2];
a7ddrphy_dfi_p2_rddata[1] <= a7ddrphy_dq_i_data1[3];
a7ddrphy_dfi_p2_rddata[17] <= a7ddrphy_dq_i_data1[2];
a7ddrphy_dfi_p2_rddata[2] <= a7ddrphy_dq_i_data2[3];
a7ddrphy_dfi_p2_rddata[18] <= a7ddrphy_dq_i_data2[2];
a7ddrphy_dfi_p2_rddata[3] <= a7ddrphy_dq_i_data3[3];
a7ddrphy_dfi_p2_rddata[19] <= a7ddrphy_dq_i_data3[2];
a7ddrphy_dfi_p2_rddata[4] <= a7ddrphy_dq_i_data4[3];
a7ddrphy_dfi_p2_rddata[20] <= a7ddrphy_dq_i_data4[2];
a7ddrphy_dfi_p2_rddata[5] <= a7ddrphy_dq_i_data5[3];
a7ddrphy_dfi_p2_rddata[21] <= a7ddrphy_dq_i_data5[2];
a7ddrphy_dfi_p2_rddata[6] <= a7ddrphy_dq_i_data6[3];
a7ddrphy_dfi_p2_rddata[22] <= a7ddrphy_dq_i_data6[2];
a7ddrphy_dfi_p2_rddata[7] <= a7ddrphy_dq_i_data7[3];
a7ddrphy_dfi_p2_rddata[23] <= a7ddrphy_dq_i_data7[2];
a7ddrphy_dfi_p2_rddata[8] <= a7ddrphy_dq_i_data8[3];
a7ddrphy_dfi_p2_rddata[24] <= a7ddrphy_dq_i_data8[2];
a7ddrphy_dfi_p2_rddata[9] <= a7ddrphy_dq_i_data9[3];
a7ddrphy_dfi_p2_rddata[25] <= a7ddrphy_dq_i_data9[2];
a7ddrphy_dfi_p2_rddata[10] <= a7ddrphy_dq_i_data10[3];
a7ddrphy_dfi_p2_rddata[26] <= a7ddrphy_dq_i_data10[2];
a7ddrphy_dfi_p2_rddata[11] <= a7ddrphy_dq_i_data11[3];
a7ddrphy_dfi_p2_rddata[27] <= a7ddrphy_dq_i_data11[2];
a7ddrphy_dfi_p2_rddata[12] <= a7ddrphy_dq_i_data12[3];
a7ddrphy_dfi_p2_rddata[28] <= a7ddrphy_dq_i_data12[2];
a7ddrphy_dfi_p2_rddata[13] <= a7ddrphy_dq_i_data13[3];
a7ddrphy_dfi_p2_rddata[29] <= a7ddrphy_dq_i_data13[2];
a7ddrphy_dfi_p2_rddata[14] <= a7ddrphy_dq_i_data14[3];
a7ddrphy_dfi_p2_rddata[30] <= a7ddrphy_dq_i_data14[2];
a7ddrphy_dfi_p2_rddata[15] <= a7ddrphy_dq_i_data15[3];
a7ddrphy_dfi_p2_rddata[31] <= a7ddrphy_dq_i_data15[2];
end
always @(*) begin
a7ddrphy_dfi_p3_rddata <= 32'd0;
a7ddrphy_dfi_p3_rddata[0] <= a7ddrphy_dq_i_data0[1];
a7ddrphy_dfi_p3_rddata[16] <= a7ddrphy_dq_i_data0[0];
a7ddrphy_dfi_p3_rddata[1] <= a7ddrphy_dq_i_data1[1];
a7ddrphy_dfi_p3_rddata[17] <= a7ddrphy_dq_i_data1[0];
a7ddrphy_dfi_p3_rddata[2] <= a7ddrphy_dq_i_data2[1];
a7ddrphy_dfi_p3_rddata[18] <= a7ddrphy_dq_i_data2[0];
a7ddrphy_dfi_p3_rddata[3] <= a7ddrphy_dq_i_data3[1];
a7ddrphy_dfi_p3_rddata[19] <= a7ddrphy_dq_i_data3[0];
a7ddrphy_dfi_p3_rddata[4] <= a7ddrphy_dq_i_data4[1];
a7ddrphy_dfi_p3_rddata[20] <= a7ddrphy_dq_i_data4[0];
a7ddrphy_dfi_p3_rddata[5] <= a7ddrphy_dq_i_data5[1];
a7ddrphy_dfi_p3_rddata[21] <= a7ddrphy_dq_i_data5[0];
a7ddrphy_dfi_p3_rddata[6] <= a7ddrphy_dq_i_data6[1];
a7ddrphy_dfi_p3_rddata[22] <= a7ddrphy_dq_i_data6[0];
a7ddrphy_dfi_p3_rddata[7] <= a7ddrphy_dq_i_data7[1];
a7ddrphy_dfi_p3_rddata[23] <= a7ddrphy_dq_i_data7[0];
a7ddrphy_dfi_p3_rddata[8] <= a7ddrphy_dq_i_data8[1];
a7ddrphy_dfi_p3_rddata[24] <= a7ddrphy_dq_i_data8[0];
a7ddrphy_dfi_p3_rddata[9] <= a7ddrphy_dq_i_data9[1];
a7ddrphy_dfi_p3_rddata[25] <= a7ddrphy_dq_i_data9[0];
a7ddrphy_dfi_p3_rddata[10] <= a7ddrphy_dq_i_data10[1];
a7ddrphy_dfi_p3_rddata[26] <= a7ddrphy_dq_i_data10[0];
a7ddrphy_dfi_p3_rddata[11] <= a7ddrphy_dq_i_data11[1];
a7ddrphy_dfi_p3_rddata[27] <= a7ddrphy_dq_i_data11[0];
a7ddrphy_dfi_p3_rddata[12] <= a7ddrphy_dq_i_data12[1];
a7ddrphy_dfi_p3_rddata[28] <= a7ddrphy_dq_i_data12[0];
a7ddrphy_dfi_p3_rddata[13] <= a7ddrphy_dq_i_data13[1];
a7ddrphy_dfi_p3_rddata[29] <= a7ddrphy_dq_i_data13[0];
a7ddrphy_dfi_p3_rddata[14] <= a7ddrphy_dq_i_data14[1];
a7ddrphy_dfi_p3_rddata[30] <= a7ddrphy_dq_i_data14[0];
a7ddrphy_dfi_p3_rddata[15] <= a7ddrphy_dq_i_data15[1];
a7ddrphy_dfi_p3_rddata[31] <= a7ddrphy_dq_i_data15[0];
end
assign a7ddrphy_oe = ((a7ddrphy_last_wrdata_en[1] | a7ddrphy_last_wrdata_en[2]) | a7ddrphy_last_wrdata_en[3]);
assign a7ddrphy_dqs_preamble = (a7ddrphy_last_wrdata_en[1] & (~a7ddrphy_last_wrdata_en[2]));
assign a7ddrphy_dqs_postamble = (a7ddrphy_last_wrdata_en[3] & (~a7ddrphy_last_wrdata_en[2]));
assign a7ddrphy_dfi_p0_address = sdram_master_p0_address;
assign a7ddrphy_dfi_p0_bank = sdram_master_p0_bank;
assign a7ddrphy_dfi_p0_cas_n = sdram_master_p0_cas_n;
assign a7ddrphy_dfi_p0_cs_n = sdram_master_p0_cs_n;
assign a7ddrphy_dfi_p0_ras_n = sdram_master_p0_ras_n;
assign a7ddrphy_dfi_p0_we_n = sdram_master_p0_we_n;
assign a7ddrphy_dfi_p0_cke = sdram_master_p0_cke;
assign a7ddrphy_dfi_p0_odt = sdram_master_p0_odt;
assign a7ddrphy_dfi_p0_reset_n = sdram_master_p0_reset_n;
assign a7ddrphy_dfi_p0_wrdata = sdram_master_p0_wrdata;
assign a7ddrphy_dfi_p0_wrdata_en = sdram_master_p0_wrdata_en;
assign a7ddrphy_dfi_p0_wrdata_mask = sdram_master_p0_wrdata_mask;
assign a7ddrphy_dfi_p0_rddata_en = sdram_master_p0_rddata_en;
assign sdram_master_p0_rddata = a7ddrphy_dfi_p0_rddata;
assign sdram_master_p0_rddata_valid = a7ddrphy_dfi_p0_rddata_valid;
assign a7ddrphy_dfi_p1_address = sdram_master_p1_address;
assign a7ddrphy_dfi_p1_bank = sdram_master_p1_bank;
assign a7ddrphy_dfi_p1_cas_n = sdram_master_p1_cas_n;
assign a7ddrphy_dfi_p1_cs_n = sdram_master_p1_cs_n;
assign a7ddrphy_dfi_p1_ras_n = sdram_master_p1_ras_n;
assign a7ddrphy_dfi_p1_we_n = sdram_master_p1_we_n;
assign a7ddrphy_dfi_p1_cke = sdram_master_p1_cke;
assign a7ddrphy_dfi_p1_odt = sdram_master_p1_odt;
assign a7ddrphy_dfi_p1_reset_n = sdram_master_p1_reset_n;
assign a7ddrphy_dfi_p1_wrdata = sdram_master_p1_wrdata;
assign a7ddrphy_dfi_p1_wrdata_en = sdram_master_p1_wrdata_en;
assign a7ddrphy_dfi_p1_wrdata_mask = sdram_master_p1_wrdata_mask;
assign a7ddrphy_dfi_p1_rddata_en = sdram_master_p1_rddata_en;
assign sdram_master_p1_rddata = a7ddrphy_dfi_p1_rddata;
assign sdram_master_p1_rddata_valid = a7ddrphy_dfi_p1_rddata_valid;
assign a7ddrphy_dfi_p2_address = sdram_master_p2_address;
assign a7ddrphy_dfi_p2_bank = sdram_master_p2_bank;
assign a7ddrphy_dfi_p2_cas_n = sdram_master_p2_cas_n;
assign a7ddrphy_dfi_p2_cs_n = sdram_master_p2_cs_n;
assign a7ddrphy_dfi_p2_ras_n = sdram_master_p2_ras_n;
assign a7ddrphy_dfi_p2_we_n = sdram_master_p2_we_n;
assign a7ddrphy_dfi_p2_cke = sdram_master_p2_cke;
assign a7ddrphy_dfi_p2_odt = sdram_master_p2_odt;
assign a7ddrphy_dfi_p2_reset_n = sdram_master_p2_reset_n;
assign a7ddrphy_dfi_p2_wrdata = sdram_master_p2_wrdata;
assign a7ddrphy_dfi_p2_wrdata_en = sdram_master_p2_wrdata_en;
assign a7ddrphy_dfi_p2_wrdata_mask = sdram_master_p2_wrdata_mask;
assign a7ddrphy_dfi_p2_rddata_en = sdram_master_p2_rddata_en;
assign sdram_master_p2_rddata = a7ddrphy_dfi_p2_rddata;
assign sdram_master_p2_rddata_valid = a7ddrphy_dfi_p2_rddata_valid;
assign a7ddrphy_dfi_p3_address = sdram_master_p3_address;
assign a7ddrphy_dfi_p3_bank = sdram_master_p3_bank;
assign a7ddrphy_dfi_p3_cas_n = sdram_master_p3_cas_n;
assign a7ddrphy_dfi_p3_cs_n = sdram_master_p3_cs_n;
assign a7ddrphy_dfi_p3_ras_n = sdram_master_p3_ras_n;
assign a7ddrphy_dfi_p3_we_n = sdram_master_p3_we_n;
assign a7ddrphy_dfi_p3_cke = sdram_master_p3_cke;
assign a7ddrphy_dfi_p3_odt = sdram_master_p3_odt;
assign a7ddrphy_dfi_p3_reset_n = sdram_master_p3_reset_n;
assign a7ddrphy_dfi_p3_wrdata = sdram_master_p3_wrdata;
assign a7ddrphy_dfi_p3_wrdata_en = sdram_master_p3_wrdata_en;
assign a7ddrphy_dfi_p3_wrdata_mask = sdram_master_p3_wrdata_mask;
assign a7ddrphy_dfi_p3_rddata_en = sdram_master_p3_rddata_en;
assign sdram_master_p3_rddata = a7ddrphy_dfi_p3_rddata;
assign sdram_master_p3_rddata_valid = a7ddrphy_dfi_p3_rddata_valid;
assign sdram_slave_p0_address = sdram_dfi_p0_address;
assign sdram_slave_p0_bank = sdram_dfi_p0_bank;
assign sdram_slave_p0_cas_n = sdram_dfi_p0_cas_n;
assign sdram_slave_p0_cs_n = sdram_dfi_p0_cs_n;
assign sdram_slave_p0_ras_n = sdram_dfi_p0_ras_n;
assign sdram_slave_p0_we_n = sdram_dfi_p0_we_n;
assign sdram_slave_p0_cke = sdram_dfi_p0_cke;
assign sdram_slave_p0_odt = sdram_dfi_p0_odt;
assign sdram_slave_p0_reset_n = sdram_dfi_p0_reset_n;
assign sdram_slave_p0_wrdata = sdram_dfi_p0_wrdata;
assign sdram_slave_p0_wrdata_en = sdram_dfi_p0_wrdata_en;
assign sdram_slave_p0_wrdata_mask = sdram_dfi_p0_wrdata_mask;
assign sdram_slave_p0_rddata_en = sdram_dfi_p0_rddata_en;
assign sdram_dfi_p0_rddata = sdram_slave_p0_rddata;
assign sdram_dfi_p0_rddata_valid = sdram_slave_p0_rddata_valid;
assign sdram_slave_p1_address = sdram_dfi_p1_address;
assign sdram_slave_p1_bank = sdram_dfi_p1_bank;
assign sdram_slave_p1_cas_n = sdram_dfi_p1_cas_n;
assign sdram_slave_p1_cs_n = sdram_dfi_p1_cs_n;
assign sdram_slave_p1_ras_n = sdram_dfi_p1_ras_n;
assign sdram_slave_p1_we_n = sdram_dfi_p1_we_n;
assign sdram_slave_p1_cke = sdram_dfi_p1_cke;
assign sdram_slave_p1_odt = sdram_dfi_p1_odt;
assign sdram_slave_p1_reset_n = sdram_dfi_p1_reset_n;
assign sdram_slave_p1_wrdata = sdram_dfi_p1_wrdata;
assign sdram_slave_p1_wrdata_en = sdram_dfi_p1_wrdata_en;
assign sdram_slave_p1_wrdata_mask = sdram_dfi_p1_wrdata_mask;
assign sdram_slave_p1_rddata_en = sdram_dfi_p1_rddata_en;
assign sdram_dfi_p1_rddata = sdram_slave_p1_rddata;
assign sdram_dfi_p1_rddata_valid = sdram_slave_p1_rddata_valid;
assign sdram_slave_p2_address = sdram_dfi_p2_address;
assign sdram_slave_p2_bank = sdram_dfi_p2_bank;
assign sdram_slave_p2_cas_n = sdram_dfi_p2_cas_n;
assign sdram_slave_p2_cs_n = sdram_dfi_p2_cs_n;
assign sdram_slave_p2_ras_n = sdram_dfi_p2_ras_n;
assign sdram_slave_p2_we_n = sdram_dfi_p2_we_n;
assign sdram_slave_p2_cke = sdram_dfi_p2_cke;
assign sdram_slave_p2_odt = sdram_dfi_p2_odt;
assign sdram_slave_p2_reset_n = sdram_dfi_p2_reset_n;
assign sdram_slave_p2_wrdata = sdram_dfi_p2_wrdata;
assign sdram_slave_p2_wrdata_en = sdram_dfi_p2_wrdata_en;
assign sdram_slave_p2_wrdata_mask = sdram_dfi_p2_wrdata_mask;
assign sdram_slave_p2_rddata_en = sdram_dfi_p2_rddata_en;
assign sdram_dfi_p2_rddata = sdram_slave_p2_rddata;
assign sdram_dfi_p2_rddata_valid = sdram_slave_p2_rddata_valid;
assign sdram_slave_p3_address = sdram_dfi_p3_address;
assign sdram_slave_p3_bank = sdram_dfi_p3_bank;
assign sdram_slave_p3_cas_n = sdram_dfi_p3_cas_n;
assign sdram_slave_p3_cs_n = sdram_dfi_p3_cs_n;
assign sdram_slave_p3_ras_n = sdram_dfi_p3_ras_n;
assign sdram_slave_p3_we_n = sdram_dfi_p3_we_n;
assign sdram_slave_p3_cke = sdram_dfi_p3_cke;
assign sdram_slave_p3_odt = sdram_dfi_p3_odt;
assign sdram_slave_p3_reset_n = sdram_dfi_p3_reset_n;
assign sdram_slave_p3_wrdata = sdram_dfi_p3_wrdata;
assign sdram_slave_p3_wrdata_en = sdram_dfi_p3_wrdata_en;
assign sdram_slave_p3_wrdata_mask = sdram_dfi_p3_wrdata_mask;
assign sdram_slave_p3_rddata_en = sdram_dfi_p3_rddata_en;
assign sdram_dfi_p3_rddata = sdram_slave_p3_rddata;
assign sdram_dfi_p3_rddata_valid = sdram_slave_p3_rddata_valid;
always @(*) begin
sdram_master_p0_address <= 14'd0;
sdram_master_p0_bank <= 3'd0;
sdram_master_p0_cas_n <= 1'd1;
sdram_master_p0_cs_n <= 1'd1;
sdram_master_p0_ras_n <= 1'd1;
sdram_master_p0_we_n <= 1'd1;
sdram_inti_p0_rddata <= 32'd0;
sdram_master_p0_cke <= 1'd0;
sdram_inti_p0_rddata_valid <= 1'd0;
sdram_master_p0_odt <= 1'd0;
sdram_master_p0_reset_n <= 1'd0;
sdram_master_p0_wrdata <= 32'd0;
sdram_master_p0_wrdata_en <= 1'd0;
sdram_master_p0_wrdata_mask <= 4'd0;
sdram_master_p0_rddata_en <= 1'd0;
sdram_master_p1_address <= 14'd0;
sdram_master_p1_bank <= 3'd0;
sdram_master_p1_cas_n <= 1'd1;
sdram_master_p1_cs_n <= 1'd1;
sdram_master_p1_ras_n <= 1'd1;
sdram_master_p1_we_n <= 1'd1;
sdram_inti_p1_rddata <= 32'd0;
sdram_master_p1_cke <= 1'd0;
sdram_inti_p1_rddata_valid <= 1'd0;
sdram_master_p1_odt <= 1'd0;
sdram_master_p1_reset_n <= 1'd0;
sdram_master_p1_wrdata <= 32'd0;
sdram_master_p1_wrdata_en <= 1'd0;
sdram_master_p1_wrdata_mask <= 4'd0;
sdram_master_p1_rddata_en <= 1'd0;
sdram_master_p2_address <= 14'd0;
sdram_master_p2_bank <= 3'd0;
sdram_master_p2_cas_n <= 1'd1;
sdram_master_p2_cs_n <= 1'd1;
sdram_master_p2_ras_n <= 1'd1;
sdram_master_p2_we_n <= 1'd1;
sdram_inti_p2_rddata <= 32'd0;
sdram_master_p2_cke <= 1'd0;
sdram_inti_p2_rddata_valid <= 1'd0;
sdram_master_p2_odt <= 1'd0;
sdram_master_p2_reset_n <= 1'd0;
sdram_master_p2_wrdata <= 32'd0;
sdram_master_p2_wrdata_en <= 1'd0;
sdram_master_p2_wrdata_mask <= 4'd0;
sdram_master_p2_rddata_en <= 1'd0;
sdram_master_p3_address <= 14'd0;
sdram_master_p3_bank <= 3'd0;
sdram_master_p3_cas_n <= 1'd1;
sdram_master_p3_cs_n <= 1'd1;
sdram_master_p3_ras_n <= 1'd1;
sdram_master_p3_we_n <= 1'd1;
sdram_inti_p3_rddata <= 32'd0;
sdram_master_p3_cke <= 1'd0;
sdram_inti_p3_rddata_valid <= 1'd0;
sdram_master_p3_odt <= 1'd0;
sdram_master_p3_reset_n <= 1'd0;
sdram_master_p3_wrdata <= 32'd0;
sdram_master_p3_wrdata_en <= 1'd0;
sdram_master_p3_wrdata_mask <= 4'd0;
sdram_master_p3_rddata_en <= 1'd0;
sdram_slave_p0_rddata <= 32'd0;
sdram_slave_p0_rddata_valid <= 1'd0;
sdram_slave_p1_rddata <= 32'd0;
sdram_slave_p1_rddata_valid <= 1'd0;
sdram_slave_p2_rddata <= 32'd0;
sdram_slave_p2_rddata_valid <= 1'd0;
sdram_slave_p3_rddata <= 32'd0;
sdram_slave_p3_rddata_valid <= 1'd0;
if (sdram_storage[0]) begin
sdram_master_p0_address <= sdram_slave_p0_address;
sdram_master_p0_bank <= sdram_slave_p0_bank;
sdram_master_p0_cas_n <= sdram_slave_p0_cas_n;
sdram_master_p0_cs_n <= sdram_slave_p0_cs_n;
sdram_master_p0_ras_n <= sdram_slave_p0_ras_n;
sdram_master_p0_we_n <= sdram_slave_p0_we_n;
sdram_master_p0_cke <= sdram_slave_p0_cke;
sdram_master_p0_odt <= sdram_slave_p0_odt;
sdram_master_p0_reset_n <= sdram_slave_p0_reset_n;
sdram_master_p0_wrdata <= sdram_slave_p0_wrdata;
sdram_master_p0_wrdata_en <= sdram_slave_p0_wrdata_en;
sdram_master_p0_wrdata_mask <= sdram_slave_p0_wrdata_mask;
sdram_master_p0_rddata_en <= sdram_slave_p0_rddata_en;
sdram_slave_p0_rddata <= sdram_master_p0_rddata;
sdram_slave_p0_rddata_valid <= sdram_master_p0_rddata_valid;
sdram_master_p1_address <= sdram_slave_p1_address;
sdram_master_p1_bank <= sdram_slave_p1_bank;
sdram_master_p1_cas_n <= sdram_slave_p1_cas_n;
sdram_master_p1_cs_n <= sdram_slave_p1_cs_n;
sdram_master_p1_ras_n <= sdram_slave_p1_ras_n;
sdram_master_p1_we_n <= sdram_slave_p1_we_n;
sdram_master_p1_cke <= sdram_slave_p1_cke;
sdram_master_p1_odt <= sdram_slave_p1_odt;
sdram_master_p1_reset_n <= sdram_slave_p1_reset_n;
sdram_master_p1_wrdata <= sdram_slave_p1_wrdata;
sdram_master_p1_wrdata_en <= sdram_slave_p1_wrdata_en;
sdram_master_p1_wrdata_mask <= sdram_slave_p1_wrdata_mask;
sdram_master_p1_rddata_en <= sdram_slave_p1_rddata_en;
sdram_slave_p1_rddata <= sdram_master_p1_rddata;
sdram_slave_p1_rddata_valid <= sdram_master_p1_rddata_valid;
sdram_master_p2_address <= sdram_slave_p2_address;
sdram_master_p2_bank <= sdram_slave_p2_bank;
sdram_master_p2_cas_n <= sdram_slave_p2_cas_n;
sdram_master_p2_cs_n <= sdram_slave_p2_cs_n;
sdram_master_p2_ras_n <= sdram_slave_p2_ras_n;
sdram_master_p2_we_n <= sdram_slave_p2_we_n;
sdram_master_p2_cke <= sdram_slave_p2_cke;
sdram_master_p2_odt <= sdram_slave_p2_odt;
sdram_master_p2_reset_n <= sdram_slave_p2_reset_n;
sdram_master_p2_wrdata <= sdram_slave_p2_wrdata;
sdram_master_p2_wrdata_en <= sdram_slave_p2_wrdata_en;
sdram_master_p2_wrdata_mask <= sdram_slave_p2_wrdata_mask;
sdram_master_p2_rddata_en <= sdram_slave_p2_rddata_en;
sdram_slave_p2_rddata <= sdram_master_p2_rddata;
sdram_slave_p2_rddata_valid <= sdram_master_p2_rddata_valid;
sdram_master_p3_address <= sdram_slave_p3_address;
sdram_master_p3_bank <= sdram_slave_p3_bank;
sdram_master_p3_cas_n <= sdram_slave_p3_cas_n;
sdram_master_p3_cs_n <= sdram_slave_p3_cs_n;
sdram_master_p3_ras_n <= sdram_slave_p3_ras_n;
sdram_master_p3_we_n <= sdram_slave_p3_we_n;
sdram_master_p3_cke <= sdram_slave_p3_cke;
sdram_master_p3_odt <= sdram_slave_p3_odt;
sdram_master_p3_reset_n <= sdram_slave_p3_reset_n;
sdram_master_p3_wrdata <= sdram_slave_p3_wrdata;
sdram_master_p3_wrdata_en <= sdram_slave_p3_wrdata_en;
sdram_master_p3_wrdata_mask <= sdram_slave_p3_wrdata_mask;
sdram_master_p3_rddata_en <= sdram_slave_p3_rddata_en;
sdram_slave_p3_rddata <= sdram_master_p3_rddata;
sdram_slave_p3_rddata_valid <= sdram_master_p3_rddata_valid;
end else begin
sdram_master_p0_address <= sdram_inti_p0_address;
sdram_master_p0_bank <= sdram_inti_p0_bank;
sdram_master_p0_cas_n <= sdram_inti_p0_cas_n;
sdram_master_p0_cs_n <= sdram_inti_p0_cs_n;
sdram_master_p0_ras_n <= sdram_inti_p0_ras_n;
sdram_master_p0_we_n <= sdram_inti_p0_we_n;
sdram_master_p0_cke <= sdram_inti_p0_cke;
sdram_master_p0_odt <= sdram_inti_p0_odt;
sdram_master_p0_reset_n <= sdram_inti_p0_reset_n;
sdram_master_p0_wrdata <= sdram_inti_p0_wrdata;
sdram_master_p0_wrdata_en <= sdram_inti_p0_wrdata_en;
sdram_master_p0_wrdata_mask <= sdram_inti_p0_wrdata_mask;
sdram_master_p0_rddata_en <= sdram_inti_p0_rddata_en;
sdram_inti_p0_rddata <= sdram_master_p0_rddata;
sdram_inti_p0_rddata_valid <= sdram_master_p0_rddata_valid;
sdram_master_p1_address <= sdram_inti_p1_address;
sdram_master_p1_bank <= sdram_inti_p1_bank;
sdram_master_p1_cas_n <= sdram_inti_p1_cas_n;
sdram_master_p1_cs_n <= sdram_inti_p1_cs_n;
sdram_master_p1_ras_n <= sdram_inti_p1_ras_n;
sdram_master_p1_we_n <= sdram_inti_p1_we_n;
sdram_master_p1_cke <= sdram_inti_p1_cke;
sdram_master_p1_odt <= sdram_inti_p1_odt;
sdram_master_p1_reset_n <= sdram_inti_p1_reset_n;
sdram_master_p1_wrdata <= sdram_inti_p1_wrdata;
sdram_master_p1_wrdata_en <= sdram_inti_p1_wrdata_en;
sdram_master_p1_wrdata_mask <= sdram_inti_p1_wrdata_mask;
sdram_master_p1_rddata_en <= sdram_inti_p1_rddata_en;
sdram_inti_p1_rddata <= sdram_master_p1_rddata;
sdram_inti_p1_rddata_valid <= sdram_master_p1_rddata_valid;
sdram_master_p2_address <= sdram_inti_p2_address;
sdram_master_p2_bank <= sdram_inti_p2_bank;
sdram_master_p2_cas_n <= sdram_inti_p2_cas_n;
sdram_master_p2_cs_n <= sdram_inti_p2_cs_n;
sdram_master_p2_ras_n <= sdram_inti_p2_ras_n;
sdram_master_p2_we_n <= sdram_inti_p2_we_n;
sdram_master_p2_cke <= sdram_inti_p2_cke;
sdram_master_p2_odt <= sdram_inti_p2_odt;
sdram_master_p2_reset_n <= sdram_inti_p2_reset_n;
sdram_master_p2_wrdata <= sdram_inti_p2_wrdata;
sdram_master_p2_wrdata_en <= sdram_inti_p2_wrdata_en;
sdram_master_p2_wrdata_mask <= sdram_inti_p2_wrdata_mask;
sdram_master_p2_rddata_en <= sdram_inti_p2_rddata_en;
sdram_inti_p2_rddata <= sdram_master_p2_rddata;
sdram_inti_p2_rddata_valid <= sdram_master_p2_rddata_valid;
sdram_master_p3_address <= sdram_inti_p3_address;
sdram_master_p3_bank <= sdram_inti_p3_bank;
sdram_master_p3_cas_n <= sdram_inti_p3_cas_n;
sdram_master_p3_cs_n <= sdram_inti_p3_cs_n;
sdram_master_p3_ras_n <= sdram_inti_p3_ras_n;
sdram_master_p3_we_n <= sdram_inti_p3_we_n;
sdram_master_p3_cke <= sdram_inti_p3_cke;
sdram_master_p3_odt <= sdram_inti_p3_odt;
sdram_master_p3_reset_n <= sdram_inti_p3_reset_n;
sdram_master_p3_wrdata <= sdram_inti_p3_wrdata;
sdram_master_p3_wrdata_en <= sdram_inti_p3_wrdata_en;
sdram_master_p3_wrdata_mask <= sdram_inti_p3_wrdata_mask;
sdram_master_p3_rddata_en <= sdram_inti_p3_rddata_en;
sdram_inti_p3_rddata <= sdram_master_p3_rddata;
sdram_inti_p3_rddata_valid <= sdram_master_p3_rddata_valid;
end
end
assign sdram_inti_p0_cke = sdram_storage[1];
assign sdram_inti_p1_cke = sdram_storage[1];
assign sdram_inti_p2_cke = sdram_storage[1];
assign sdram_inti_p3_cke = sdram_storage[1];
assign sdram_inti_p0_odt = sdram_storage[2];
assign sdram_inti_p1_odt = sdram_storage[2];
assign sdram_inti_p2_odt = sdram_storage[2];
assign sdram_inti_p3_odt = sdram_storage[2];
assign sdram_inti_p0_reset_n = sdram_storage[3];
assign sdram_inti_p1_reset_n = sdram_storage[3];
assign sdram_inti_p2_reset_n = sdram_storage[3];
assign sdram_inti_p3_reset_n = sdram_storage[3];
always @(*) begin
sdram_inti_p0_cas_n <= 1'd1;
sdram_inti_p0_cs_n <= 1'd1;
sdram_inti_p0_ras_n <= 1'd1;
sdram_inti_p0_we_n <= 1'd1;
if (sdram_phaseinjector0_command_issue_re) begin
sdram_inti_p0_cs_n <= {1{(~sdram_phaseinjector0_command_storage[0])}};
sdram_inti_p0_we_n <= (~sdram_phaseinjector0_command_storage[1]);
sdram_inti_p0_cas_n <= (~sdram_phaseinjector0_command_storage[2]);
sdram_inti_p0_ras_n <= (~sdram_phaseinjector0_command_storage[3]);
end else begin
sdram_inti_p0_cs_n <= {1{1'd1}};
sdram_inti_p0_we_n <= 1'd1;
sdram_inti_p0_cas_n <= 1'd1;
sdram_inti_p0_ras_n <= 1'd1;
end
end
assign sdram_inti_p0_address = sdram_phaseinjector0_address_storage;
assign sdram_inti_p0_bank = sdram_phaseinjector0_baddress_storage;
assign sdram_inti_p0_wrdata_en = (sdram_phaseinjector0_command_issue_re & sdram_phaseinjector0_command_storage[4]);
assign sdram_inti_p0_rddata_en = (sdram_phaseinjector0_command_issue_re & sdram_phaseinjector0_command_storage[5]);
assign sdram_inti_p0_wrdata = sdram_phaseinjector0_wrdata_storage;
assign sdram_inti_p0_wrdata_mask = 1'd0;
always @(*) begin
sdram_inti_p1_cs_n <= 1'd1;
sdram_inti_p1_ras_n <= 1'd1;
sdram_inti_p1_we_n <= 1'd1;
sdram_inti_p1_cas_n <= 1'd1;
if (sdram_phaseinjector1_command_issue_re) begin
sdram_inti_p1_cs_n <= {1{(~sdram_phaseinjector1_command_storage[0])}};
sdram_inti_p1_we_n <= (~sdram_phaseinjector1_command_storage[1]);
sdram_inti_p1_cas_n <= (~sdram_phaseinjector1_command_storage[2]);
sdram_inti_p1_ras_n <= (~sdram_phaseinjector1_command_storage[3]);
end else begin
sdram_inti_p1_cs_n <= {1{1'd1}};
sdram_inti_p1_we_n <= 1'd1;
sdram_inti_p1_cas_n <= 1'd1;
sdram_inti_p1_ras_n <= 1'd1;
end
end
assign sdram_inti_p1_address = sdram_phaseinjector1_address_storage;
assign sdram_inti_p1_bank = sdram_phaseinjector1_baddress_storage;
assign sdram_inti_p1_wrdata_en = (sdram_phaseinjector1_command_issue_re & sdram_phaseinjector1_command_storage[4]);
assign sdram_inti_p1_rddata_en = (sdram_phaseinjector1_command_issue_re & sdram_phaseinjector1_command_storage[5]);
assign sdram_inti_p1_wrdata = sdram_phaseinjector1_wrdata_storage;
assign sdram_inti_p1_wrdata_mask = 1'd0;
always @(*) begin
sdram_inti_p2_ras_n <= 1'd1;
sdram_inti_p2_we_n <= 1'd1;
sdram_inti_p2_cas_n <= 1'd1;
sdram_inti_p2_cs_n <= 1'd1;
if (sdram_phaseinjector2_command_issue_re) begin
sdram_inti_p2_cs_n <= {1{(~sdram_phaseinjector2_command_storage[0])}};
sdram_inti_p2_we_n <= (~sdram_phaseinjector2_command_storage[1]);
sdram_inti_p2_cas_n <= (~sdram_phaseinjector2_command_storage[2]);
sdram_inti_p2_ras_n <= (~sdram_phaseinjector2_command_storage[3]);
end else begin
sdram_inti_p2_cs_n <= {1{1'd1}};
sdram_inti_p2_we_n <= 1'd1;
sdram_inti_p2_cas_n <= 1'd1;
sdram_inti_p2_ras_n <= 1'd1;
end
end
assign sdram_inti_p2_address = sdram_phaseinjector2_address_storage;
assign sdram_inti_p2_bank = sdram_phaseinjector2_baddress_storage;
assign sdram_inti_p2_wrdata_en = (sdram_phaseinjector2_command_issue_re & sdram_phaseinjector2_command_storage[4]);
assign sdram_inti_p2_rddata_en = (sdram_phaseinjector2_command_issue_re & sdram_phaseinjector2_command_storage[5]);
assign sdram_inti_p2_wrdata = sdram_phaseinjector2_wrdata_storage;
assign sdram_inti_p2_wrdata_mask = 1'd0;
always @(*) begin
sdram_inti_p3_we_n <= 1'd1;
sdram_inti_p3_cas_n <= 1'd1;
sdram_inti_p3_cs_n <= 1'd1;
sdram_inti_p3_ras_n <= 1'd1;
if (sdram_phaseinjector3_command_issue_re) begin
sdram_inti_p3_cs_n <= {1{(~sdram_phaseinjector3_command_storage[0])}};
sdram_inti_p3_we_n <= (~sdram_phaseinjector3_command_storage[1]);
sdram_inti_p3_cas_n <= (~sdram_phaseinjector3_command_storage[2]);
sdram_inti_p3_ras_n <= (~sdram_phaseinjector3_command_storage[3]);
end else begin
sdram_inti_p3_cs_n <= {1{1'd1}};
sdram_inti_p3_we_n <= 1'd1;
sdram_inti_p3_cas_n <= 1'd1;
sdram_inti_p3_ras_n <= 1'd1;
end
end
assign sdram_inti_p3_address = sdram_phaseinjector3_address_storage;
assign sdram_inti_p3_bank = sdram_phaseinjector3_baddress_storage;
assign sdram_inti_p3_wrdata_en = (sdram_phaseinjector3_command_issue_re & sdram_phaseinjector3_command_storage[4]);
assign sdram_inti_p3_rddata_en = (sdram_phaseinjector3_command_issue_re & sdram_phaseinjector3_command_storage[5]);
assign sdram_inti_p3_wrdata = sdram_phaseinjector3_wrdata_storage;
assign sdram_inti_p3_wrdata_mask = 1'd0;
assign sdram_bankmachine0_req_valid = sdram_interface_bank0_valid;
assign sdram_interface_bank0_ready = sdram_bankmachine0_req_ready;
assign sdram_bankmachine0_req_we = sdram_interface_bank0_we;
assign sdram_bankmachine0_req_addr = sdram_interface_bank0_addr;
assign sdram_interface_bank0_lock = sdram_bankmachine0_req_lock;
assign sdram_interface_bank0_wdata_ready = sdram_bankmachine0_req_wdata_ready;
assign sdram_interface_bank0_rdata_valid = sdram_bankmachine0_req_rdata_valid;
assign sdram_bankmachine1_req_valid = sdram_interface_bank1_valid;
assign sdram_interface_bank1_ready = sdram_bankmachine1_req_ready;
assign sdram_bankmachine1_req_we = sdram_interface_bank1_we;
assign sdram_bankmachine1_req_addr = sdram_interface_bank1_addr;
assign sdram_interface_bank1_lock = sdram_bankmachine1_req_lock;
assign sdram_interface_bank1_wdata_ready = sdram_bankmachine1_req_wdata_ready;
assign sdram_interface_bank1_rdata_valid = sdram_bankmachine1_req_rdata_valid;
assign sdram_bankmachine2_req_valid = sdram_interface_bank2_valid;
assign sdram_interface_bank2_ready = sdram_bankmachine2_req_ready;
assign sdram_bankmachine2_req_we = sdram_interface_bank2_we;
assign sdram_bankmachine2_req_addr = sdram_interface_bank2_addr;
assign sdram_interface_bank2_lock = sdram_bankmachine2_req_lock;
assign sdram_interface_bank2_wdata_ready = sdram_bankmachine2_req_wdata_ready;
assign sdram_interface_bank2_rdata_valid = sdram_bankmachine2_req_rdata_valid;
assign sdram_bankmachine3_req_valid = sdram_interface_bank3_valid;
assign sdram_interface_bank3_ready = sdram_bankmachine3_req_ready;
assign sdram_bankmachine3_req_we = sdram_interface_bank3_we;
assign sdram_bankmachine3_req_addr = sdram_interface_bank3_addr;
assign sdram_interface_bank3_lock = sdram_bankmachine3_req_lock;
assign sdram_interface_bank3_wdata_ready = sdram_bankmachine3_req_wdata_ready;
assign sdram_interface_bank3_rdata_valid = sdram_bankmachine3_req_rdata_valid;
assign sdram_bankmachine4_req_valid = sdram_interface_bank4_valid;
assign sdram_interface_bank4_ready = sdram_bankmachine4_req_ready;
assign sdram_bankmachine4_req_we = sdram_interface_bank4_we;
assign sdram_bankmachine4_req_addr = sdram_interface_bank4_addr;
assign sdram_interface_bank4_lock = sdram_bankmachine4_req_lock;
assign sdram_interface_bank4_wdata_ready = sdram_bankmachine4_req_wdata_ready;
assign sdram_interface_bank4_rdata_valid = sdram_bankmachine4_req_rdata_valid;
assign sdram_bankmachine5_req_valid = sdram_interface_bank5_valid;
assign sdram_interface_bank5_ready = sdram_bankmachine5_req_ready;
assign sdram_bankmachine5_req_we = sdram_interface_bank5_we;
assign sdram_bankmachine5_req_addr = sdram_interface_bank5_addr;
assign sdram_interface_bank5_lock = sdram_bankmachine5_req_lock;
assign sdram_interface_bank5_wdata_ready = sdram_bankmachine5_req_wdata_ready;
assign sdram_interface_bank5_rdata_valid = sdram_bankmachine5_req_rdata_valid;
assign sdram_bankmachine6_req_valid = sdram_interface_bank6_valid;
assign sdram_interface_bank6_ready = sdram_bankmachine6_req_ready;
assign sdram_bankmachine6_req_we = sdram_interface_bank6_we;
assign sdram_bankmachine6_req_addr = sdram_interface_bank6_addr;
assign sdram_interface_bank6_lock = sdram_bankmachine6_req_lock;
assign sdram_interface_bank6_wdata_ready = sdram_bankmachine6_req_wdata_ready;
assign sdram_interface_bank6_rdata_valid = sdram_bankmachine6_req_rdata_valid;
assign sdram_bankmachine7_req_valid = sdram_interface_bank7_valid;
assign sdram_interface_bank7_ready = sdram_bankmachine7_req_ready;
assign sdram_bankmachine7_req_we = sdram_interface_bank7_we;
assign sdram_bankmachine7_req_addr = sdram_interface_bank7_addr;
assign sdram_interface_bank7_lock = sdram_bankmachine7_req_lock;
assign sdram_interface_bank7_wdata_ready = sdram_bankmachine7_req_wdata_ready;
assign sdram_interface_bank7_rdata_valid = sdram_bankmachine7_req_rdata_valid;
assign sdram_wait = (1'd1 & (~sdram_done));
assign sdram_done = (sdram_count == 1'd0);
always @(*) begin
sdram_cmd_last <= 1'd0;
sdram_seq_start <= 1'd0;
refresher_next_state <= 2'd0;
sdram_cmd_valid <= 1'd0;
refresher_next_state <= refresher_state;
case (refresher_state)
1'd1: begin
sdram_cmd_valid <= 1'd1;
if (sdram_cmd_ready) begin
sdram_seq_start <= 1'd1;
refresher_next_state <= 2'd2;
end
end
2'd2: begin
if (sdram_seq_done) begin
sdram_cmd_last <= 1'd1;
refresher_next_state <= 1'd0;
end else begin
sdram_cmd_valid <= 1'd1;
end
end
default: begin
if (sdram_done) begin
refresher_next_state <= 1'd1;
end
end
endcase
end
assign sdram_bankmachine0_cmd_buffer_lookahead_sink_valid = sdram_bankmachine0_req_valid;
assign sdram_bankmachine0_req_ready = sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine0_req_we;
assign sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine0_req_addr;
assign sdram_bankmachine0_cmd_buffer_sink_valid = sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_ready = sdram_bankmachine0_cmd_buffer_sink_ready;
assign sdram_bankmachine0_cmd_buffer_sink_first = sdram_bankmachine0_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine0_cmd_buffer_sink_last = sdram_bankmachine0_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine0_cmd_buffer_sink_payload_we = sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine0_cmd_buffer_sink_payload_addr = sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine0_cmd_buffer_source_ready = (sdram_bankmachine0_req_wdata_ready | sdram_bankmachine0_req_rdata_valid);
assign sdram_bankmachine0_req_lock = (sdram_bankmachine0_cmd_buffer_lookahead_source_valid | sdram_bankmachine0_cmd_buffer_source_valid);
assign sdram_bankmachine0_hit = (sdram_bankmachine0_openrow == sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine0_cmd_payload_ba = 1'd0;
always @(*) begin
sdram_bankmachine0_cmd_payload_a <= 14'd0;
if (sdram_bankmachine0_sel_row_addr) begin
sdram_bankmachine0_cmd_payload_a <= sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine0_cmd_payload_a <= ((sdram_bankmachine0_auto_precharge <<< 4'd10) | {sdram_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign sdram_bankmachine0_wait = (~((sdram_bankmachine0_cmd_valid & sdram_bankmachine0_cmd_ready) & sdram_bankmachine0_cmd_payload_is_write));
always @(*) begin
sdram_bankmachine0_auto_precharge <= 1'd0;
if ((sdram_bankmachine0_cmd_buffer_lookahead_source_valid & sdram_bankmachine0_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine0_auto_precharge <= (sdram_bankmachine0_track_close == 1'd0);
end
end
end
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
assign sdram_bankmachine0_cmd_buffer_lookahead_sink_ready = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine0_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine0_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_valid = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_first = sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_last = sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
always @(*) begin
sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine0_cmd_buffer_lookahead_replace) begin
sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine0_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine0_cmd_buffer_lookahead_produce;
end
end
assign sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
assign sdram_bankmachine0_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | sdram_bankmachine0_cmd_buffer_lookahead_replace));
assign sdram_bankmachine0_cmd_buffer_lookahead_do_read = (sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re);
assign sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine0_cmd_buffer_lookahead_consume;
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (sdram_bankmachine0_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (sdram_bankmachine0_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine0_cmd_buffer_pipe_ce = (sdram_bankmachine0_cmd_buffer_source_ready | (~sdram_bankmachine0_cmd_buffer_valid_n));
assign sdram_bankmachine0_cmd_buffer_sink_ready = sdram_bankmachine0_cmd_buffer_pipe_ce;
assign sdram_bankmachine0_cmd_buffer_source_valid = sdram_bankmachine0_cmd_buffer_valid_n;
assign sdram_bankmachine0_cmd_buffer_busy = (1'd0 | sdram_bankmachine0_cmd_buffer_valid_n);
assign sdram_bankmachine0_cmd_buffer_source_first = sdram_bankmachine0_cmd_buffer_first_n;
assign sdram_bankmachine0_cmd_buffer_source_last = sdram_bankmachine0_cmd_buffer_last_n;
assign sdram_bankmachine0_done = (sdram_bankmachine0_count == 1'd0);
always @(*) begin
sdram_bankmachine0_cmd_payload_cas <= 1'd0;
sdram_bankmachine0_cmd_payload_ras <= 1'd0;
sdram_bankmachine0_cmd_payload_we <= 1'd0;
sdram_bankmachine0_cmd_payload_is_cmd <= 1'd0;
sdram_bankmachine0_req_wdata_ready <= 1'd0;
sdram_bankmachine0_track_open <= 1'd0;
sdram_bankmachine0_cmd_payload_is_write <= 1'd0;
sdram_bankmachine0_track_close <= 1'd0;
sdram_bankmachine0_req_rdata_valid <= 1'd0;
sdram_bankmachine0_cmd_payload_is_read <= 1'd0;
sdram_bankmachine0_refresh_gnt <= 1'd0;
sdram_bankmachine0_sel_row_addr <= 1'd0;
bankmachine0_next_state <= 4'd0;
sdram_bankmachine0_cmd_valid <= 1'd0;
bankmachine0_next_state <= bankmachine0_state;
case (bankmachine0_state)
1'd1: begin
if (sdram_bankmachine0_done) begin
sdram_bankmachine0_cmd_valid <= 1'd1;
if (sdram_bankmachine0_cmd_ready) begin
bankmachine0_next_state <= 3'd5;
end
sdram_bankmachine0_cmd_payload_ras <= 1'd1;
sdram_bankmachine0_cmd_payload_we <= 1'd1;
sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
end
sdram_bankmachine0_track_close <= 1'd1;
end
2'd2: begin
if (sdram_bankmachine0_done) begin
bankmachine0_next_state <= 3'd5;
end
sdram_bankmachine0_track_close <= 1'd1;
end
2'd3: begin
sdram_bankmachine0_sel_row_addr <= 1'd1;
sdram_bankmachine0_track_open <= 1'd1;
sdram_bankmachine0_cmd_valid <= sdram_bankmachine0_ras_allowed;
sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
if ((sdram_bankmachine0_cmd_ready & sdram_bankmachine0_ras_allowed)) begin
bankmachine0_next_state <= 3'd7;
end
sdram_bankmachine0_cmd_payload_ras <= 1'd1;
end
3'd4: begin
if (sdram_bankmachine0_done) begin
sdram_bankmachine0_refresh_gnt <= 1'd1;
end
sdram_bankmachine0_track_close <= 1'd1;
sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
if ((~sdram_bankmachine0_refresh_req)) begin
bankmachine0_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine0_next_state <= 3'd6;
end
3'd6: begin
bankmachine0_next_state <= 2'd3;
end
3'd7: begin
bankmachine0_next_state <= 4'd8;
end
4'd8: begin
bankmachine0_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine0_refresh_req) begin
bankmachine0_next_state <= 3'd4;
end else begin
if (sdram_bankmachine0_cmd_buffer_source_valid) begin
if (sdram_bankmachine0_has_openrow) begin
if (sdram_bankmachine0_hit) begin
if (sdram_bankmachine0_cas_allowed) begin
sdram_bankmachine0_cmd_valid <= 1'd1;
if (sdram_bankmachine0_cmd_buffer_source_payload_we) begin
sdram_bankmachine0_req_wdata_ready <= sdram_bankmachine0_cmd_ready;
sdram_bankmachine0_cmd_payload_is_write <= 1'd1;
sdram_bankmachine0_cmd_payload_we <= 1'd1;
end else begin
sdram_bankmachine0_req_rdata_valid <= sdram_bankmachine0_cmd_ready;
sdram_bankmachine0_cmd_payload_is_read <= 1'd1;
end
sdram_bankmachine0_cmd_payload_cas <= 1'd1;
if ((sdram_bankmachine0_cmd_ready & sdram_bankmachine0_auto_precharge)) begin
bankmachine0_next_state <= 2'd2;
end
end
end else begin
bankmachine0_next_state <= 1'd1;
end
end else begin
bankmachine0_next_state <= 2'd3;
end
end
end
end
endcase
end
assign sdram_bankmachine1_cmd_buffer_lookahead_sink_valid = sdram_bankmachine1_req_valid;
assign sdram_bankmachine1_req_ready = sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine1_req_we;
assign sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine1_req_addr;
assign sdram_bankmachine1_cmd_buffer_sink_valid = sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_ready = sdram_bankmachine1_cmd_buffer_sink_ready;
assign sdram_bankmachine1_cmd_buffer_sink_first = sdram_bankmachine1_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine1_cmd_buffer_sink_last = sdram_bankmachine1_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine1_cmd_buffer_sink_payload_we = sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine1_cmd_buffer_sink_payload_addr = sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine1_cmd_buffer_source_ready = (sdram_bankmachine1_req_wdata_ready | sdram_bankmachine1_req_rdata_valid);
assign sdram_bankmachine1_req_lock = (sdram_bankmachine1_cmd_buffer_lookahead_source_valid | sdram_bankmachine1_cmd_buffer_source_valid);
assign sdram_bankmachine1_hit = (sdram_bankmachine1_openrow == sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine1_cmd_payload_ba = 1'd1;
always @(*) begin
sdram_bankmachine1_cmd_payload_a <= 14'd0;
if (sdram_bankmachine1_sel_row_addr) begin
sdram_bankmachine1_cmd_payload_a <= sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine1_cmd_payload_a <= ((sdram_bankmachine1_auto_precharge <<< 4'd10) | {sdram_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign sdram_bankmachine1_wait = (~((sdram_bankmachine1_cmd_valid & sdram_bankmachine1_cmd_ready) & sdram_bankmachine1_cmd_payload_is_write));
always @(*) begin
sdram_bankmachine1_auto_precharge <= 1'd0;
if ((sdram_bankmachine1_cmd_buffer_lookahead_source_valid & sdram_bankmachine1_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine1_auto_precharge <= (sdram_bankmachine1_track_close == 1'd0);
end
end
end
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
assign sdram_bankmachine1_cmd_buffer_lookahead_sink_ready = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine1_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine1_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_valid = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_first = sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_last = sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
always @(*) begin
sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine1_cmd_buffer_lookahead_replace) begin
sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine1_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine1_cmd_buffer_lookahead_produce;
end
end
assign sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
assign sdram_bankmachine1_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | sdram_bankmachine1_cmd_buffer_lookahead_replace));
assign sdram_bankmachine1_cmd_buffer_lookahead_do_read = (sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re);
assign sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine1_cmd_buffer_lookahead_consume;
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (sdram_bankmachine1_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (sdram_bankmachine1_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine1_cmd_buffer_pipe_ce = (sdram_bankmachine1_cmd_buffer_source_ready | (~sdram_bankmachine1_cmd_buffer_valid_n));
assign sdram_bankmachine1_cmd_buffer_sink_ready = sdram_bankmachine1_cmd_buffer_pipe_ce;
assign sdram_bankmachine1_cmd_buffer_source_valid = sdram_bankmachine1_cmd_buffer_valid_n;
assign sdram_bankmachine1_cmd_buffer_busy = (1'd0 | sdram_bankmachine1_cmd_buffer_valid_n);
assign sdram_bankmachine1_cmd_buffer_source_first = sdram_bankmachine1_cmd_buffer_first_n;
assign sdram_bankmachine1_cmd_buffer_source_last = sdram_bankmachine1_cmd_buffer_last_n;
assign sdram_bankmachine1_done = (sdram_bankmachine1_count == 1'd0);
always @(*) begin
sdram_bankmachine1_sel_row_addr <= 1'd0;
sdram_bankmachine1_req_rdata_valid <= 1'd0;
sdram_bankmachine1_cmd_payload_is_write <= 1'd0;
sdram_bankmachine1_cmd_valid <= 1'd0;
sdram_bankmachine1_cmd_payload_is_read <= 1'd0;
sdram_bankmachine1_cmd_payload_cas <= 1'd0;
sdram_bankmachine1_cmd_payload_ras <= 1'd0;
sdram_bankmachine1_cmd_payload_we <= 1'd0;
sdram_bankmachine1_cmd_payload_is_cmd <= 1'd0;
sdram_bankmachine1_req_wdata_ready <= 1'd0;
sdram_bankmachine1_track_open <= 1'd0;
bankmachine1_next_state <= 4'd0;
sdram_bankmachine1_track_close <= 1'd0;
sdram_bankmachine1_refresh_gnt <= 1'd0;
bankmachine1_next_state <= bankmachine1_state;
case (bankmachine1_state)
1'd1: begin
if (sdram_bankmachine1_done) begin
sdram_bankmachine1_cmd_valid <= 1'd1;
if (sdram_bankmachine1_cmd_ready) begin
bankmachine1_next_state <= 3'd5;
end
sdram_bankmachine1_cmd_payload_ras <= 1'd1;
sdram_bankmachine1_cmd_payload_we <= 1'd1;
sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
sdram_bankmachine1_track_close <= 1'd1;
end
2'd2: begin
if (sdram_bankmachine1_done) begin
bankmachine1_next_state <= 3'd5;
end
sdram_bankmachine1_track_close <= 1'd1;
end
2'd3: begin
sdram_bankmachine1_sel_row_addr <= 1'd1;
sdram_bankmachine1_track_open <= 1'd1;
sdram_bankmachine1_cmd_valid <= sdram_bankmachine1_ras_allowed;
sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
if ((sdram_bankmachine1_cmd_ready & sdram_bankmachine1_ras_allowed)) begin
bankmachine1_next_state <= 3'd7;
end
sdram_bankmachine1_cmd_payload_ras <= 1'd1;
end
3'd4: begin
if (sdram_bankmachine1_done) begin
sdram_bankmachine1_refresh_gnt <= 1'd1;
end
sdram_bankmachine1_track_close <= 1'd1;
sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
if ((~sdram_bankmachine1_refresh_req)) begin
bankmachine1_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine1_next_state <= 3'd6;
end
3'd6: begin
bankmachine1_next_state <= 2'd3;
end
3'd7: begin
bankmachine1_next_state <= 4'd8;
end
4'd8: begin
bankmachine1_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine1_refresh_req) begin
bankmachine1_next_state <= 3'd4;
end else begin
if (sdram_bankmachine1_cmd_buffer_source_valid) begin
if (sdram_bankmachine1_has_openrow) begin
if (sdram_bankmachine1_hit) begin
if (sdram_bankmachine1_cas_allowed) begin
sdram_bankmachine1_cmd_valid <= 1'd1;
if (sdram_bankmachine1_cmd_buffer_source_payload_we) begin
sdram_bankmachine1_req_wdata_ready <= sdram_bankmachine1_cmd_ready;
sdram_bankmachine1_cmd_payload_is_write <= 1'd1;
sdram_bankmachine1_cmd_payload_we <= 1'd1;
end else begin
sdram_bankmachine1_req_rdata_valid <= sdram_bankmachine1_cmd_ready;
sdram_bankmachine1_cmd_payload_is_read <= 1'd1;
end
sdram_bankmachine1_cmd_payload_cas <= 1'd1;
if ((sdram_bankmachine1_cmd_ready & sdram_bankmachine1_auto_precharge)) begin
bankmachine1_next_state <= 2'd2;
end
end
end else begin
bankmachine1_next_state <= 1'd1;
end
end else begin
bankmachine1_next_state <= 2'd3;
end
end
end
end
endcase
end
assign sdram_bankmachine2_cmd_buffer_lookahead_sink_valid = sdram_bankmachine2_req_valid;
assign sdram_bankmachine2_req_ready = sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine2_req_we;
assign sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine2_req_addr;
assign sdram_bankmachine2_cmd_buffer_sink_valid = sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_ready = sdram_bankmachine2_cmd_buffer_sink_ready;
assign sdram_bankmachine2_cmd_buffer_sink_first = sdram_bankmachine2_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine2_cmd_buffer_sink_last = sdram_bankmachine2_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine2_cmd_buffer_sink_payload_we = sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine2_cmd_buffer_sink_payload_addr = sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine2_cmd_buffer_source_ready = (sdram_bankmachine2_req_wdata_ready | sdram_bankmachine2_req_rdata_valid);
assign sdram_bankmachine2_req_lock = (sdram_bankmachine2_cmd_buffer_lookahead_source_valid | sdram_bankmachine2_cmd_buffer_source_valid);
assign sdram_bankmachine2_hit = (sdram_bankmachine2_openrow == sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine2_cmd_payload_ba = 2'd2;
always @(*) begin
sdram_bankmachine2_cmd_payload_a <= 14'd0;
if (sdram_bankmachine2_sel_row_addr) begin
sdram_bankmachine2_cmd_payload_a <= sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine2_cmd_payload_a <= ((sdram_bankmachine2_auto_precharge <<< 4'd10) | {sdram_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign sdram_bankmachine2_wait = (~((sdram_bankmachine2_cmd_valid & sdram_bankmachine2_cmd_ready) & sdram_bankmachine2_cmd_payload_is_write));
always @(*) begin
sdram_bankmachine2_auto_precharge <= 1'd0;
if ((sdram_bankmachine2_cmd_buffer_lookahead_source_valid & sdram_bankmachine2_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine2_auto_precharge <= (sdram_bankmachine2_track_close == 1'd0);
end
end
end
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
assign sdram_bankmachine2_cmd_buffer_lookahead_sink_ready = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine2_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine2_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_valid = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_first = sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_last = sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
always @(*) begin
sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine2_cmd_buffer_lookahead_replace) begin
sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine2_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine2_cmd_buffer_lookahead_produce;
end
end
assign sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
assign sdram_bankmachine2_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | sdram_bankmachine2_cmd_buffer_lookahead_replace));
assign sdram_bankmachine2_cmd_buffer_lookahead_do_read = (sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re);
assign sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine2_cmd_buffer_lookahead_consume;
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (sdram_bankmachine2_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (sdram_bankmachine2_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine2_cmd_buffer_pipe_ce = (sdram_bankmachine2_cmd_buffer_source_ready | (~sdram_bankmachine2_cmd_buffer_valid_n));
assign sdram_bankmachine2_cmd_buffer_sink_ready = sdram_bankmachine2_cmd_buffer_pipe_ce;
assign sdram_bankmachine2_cmd_buffer_source_valid = sdram_bankmachine2_cmd_buffer_valid_n;
assign sdram_bankmachine2_cmd_buffer_busy = (1'd0 | sdram_bankmachine2_cmd_buffer_valid_n);
assign sdram_bankmachine2_cmd_buffer_source_first = sdram_bankmachine2_cmd_buffer_first_n;
assign sdram_bankmachine2_cmd_buffer_source_last = sdram_bankmachine2_cmd_buffer_last_n;
assign sdram_bankmachine2_done = (sdram_bankmachine2_count == 1'd0);
always @(*) begin
sdram_bankmachine2_cmd_payload_is_write <= 1'd0;
sdram_bankmachine2_track_close <= 1'd0;
sdram_bankmachine2_req_rdata_valid <= 1'd0;
sdram_bankmachine2_cmd_payload_is_read <= 1'd0;
sdram_bankmachine2_refresh_gnt <= 1'd0;
sdram_bankmachine2_sel_row_addr <= 1'd0;
sdram_bankmachine2_cmd_valid <= 1'd0;
sdram_bankmachine2_cmd_payload_cas <= 1'd0;
sdram_bankmachine2_cmd_payload_ras <= 1'd0;
bankmachine2_next_state <= 4'd0;
sdram_bankmachine2_cmd_payload_we <= 1'd0;
sdram_bankmachine2_cmd_payload_is_cmd <= 1'd0;
sdram_bankmachine2_req_wdata_ready <= 1'd0;
sdram_bankmachine2_track_open <= 1'd0;
bankmachine2_next_state <= bankmachine2_state;
case (bankmachine2_state)
1'd1: begin
if (sdram_bankmachine2_done) begin
sdram_bankmachine2_cmd_valid <= 1'd1;
if (sdram_bankmachine2_cmd_ready) begin
bankmachine2_next_state <= 3'd5;
end
sdram_bankmachine2_cmd_payload_ras <= 1'd1;
sdram_bankmachine2_cmd_payload_we <= 1'd1;
sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
sdram_bankmachine2_track_close <= 1'd1;
end
2'd2: begin
if (sdram_bankmachine2_done) begin
bankmachine2_next_state <= 3'd5;
end
sdram_bankmachine2_track_close <= 1'd1;
end
2'd3: begin
sdram_bankmachine2_sel_row_addr <= 1'd1;
sdram_bankmachine2_track_open <= 1'd1;
sdram_bankmachine2_cmd_valid <= sdram_bankmachine2_ras_allowed;
sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
if ((sdram_bankmachine2_cmd_ready & sdram_bankmachine2_ras_allowed)) begin
bankmachine2_next_state <= 3'd7;
end
sdram_bankmachine2_cmd_payload_ras <= 1'd1;
end
3'd4: begin
if (sdram_bankmachine2_done) begin
sdram_bankmachine2_refresh_gnt <= 1'd1;
end
sdram_bankmachine2_track_close <= 1'd1;
sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
if ((~sdram_bankmachine2_refresh_req)) begin
bankmachine2_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine2_next_state <= 3'd6;
end
3'd6: begin
bankmachine2_next_state <= 2'd3;
end
3'd7: begin
bankmachine2_next_state <= 4'd8;
end
4'd8: begin
bankmachine2_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine2_refresh_req) begin
bankmachine2_next_state <= 3'd4;
end else begin
if (sdram_bankmachine2_cmd_buffer_source_valid) begin
if (sdram_bankmachine2_has_openrow) begin
if (sdram_bankmachine2_hit) begin
if (sdram_bankmachine2_cas_allowed) begin
sdram_bankmachine2_cmd_valid <= 1'd1;
if (sdram_bankmachine2_cmd_buffer_source_payload_we) begin
sdram_bankmachine2_req_wdata_ready <= sdram_bankmachine2_cmd_ready;
sdram_bankmachine2_cmd_payload_is_write <= 1'd1;
sdram_bankmachine2_cmd_payload_we <= 1'd1;
end else begin
sdram_bankmachine2_req_rdata_valid <= sdram_bankmachine2_cmd_ready;
sdram_bankmachine2_cmd_payload_is_read <= 1'd1;
end
sdram_bankmachine2_cmd_payload_cas <= 1'd1;
if ((sdram_bankmachine2_cmd_ready & sdram_bankmachine2_auto_precharge)) begin
bankmachine2_next_state <= 2'd2;
end
end
end else begin
bankmachine2_next_state <= 1'd1;
end
end else begin
bankmachine2_next_state <= 2'd3;
end
end
end
end
endcase
end
assign sdram_bankmachine3_cmd_buffer_lookahead_sink_valid = sdram_bankmachine3_req_valid;
assign sdram_bankmachine3_req_ready = sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine3_req_we;
assign sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine3_req_addr;
assign sdram_bankmachine3_cmd_buffer_sink_valid = sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_ready = sdram_bankmachine3_cmd_buffer_sink_ready;
assign sdram_bankmachine3_cmd_buffer_sink_first = sdram_bankmachine3_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine3_cmd_buffer_sink_last = sdram_bankmachine3_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine3_cmd_buffer_sink_payload_we = sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine3_cmd_buffer_sink_payload_addr = sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine3_cmd_buffer_source_ready = (sdram_bankmachine3_req_wdata_ready | sdram_bankmachine3_req_rdata_valid);
assign sdram_bankmachine3_req_lock = (sdram_bankmachine3_cmd_buffer_lookahead_source_valid | sdram_bankmachine3_cmd_buffer_source_valid);
assign sdram_bankmachine3_hit = (sdram_bankmachine3_openrow == sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine3_cmd_payload_ba = 2'd3;
always @(*) begin
sdram_bankmachine3_cmd_payload_a <= 14'd0;
if (sdram_bankmachine3_sel_row_addr) begin
sdram_bankmachine3_cmd_payload_a <= sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine3_cmd_payload_a <= ((sdram_bankmachine3_auto_precharge <<< 4'd10) | {sdram_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign sdram_bankmachine3_wait = (~((sdram_bankmachine3_cmd_valid & sdram_bankmachine3_cmd_ready) & sdram_bankmachine3_cmd_payload_is_write));
always @(*) begin
sdram_bankmachine3_auto_precharge <= 1'd0;
if ((sdram_bankmachine3_cmd_buffer_lookahead_source_valid & sdram_bankmachine3_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine3_auto_precharge <= (sdram_bankmachine3_track_close == 1'd0);
end
end
end
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
assign sdram_bankmachine3_cmd_buffer_lookahead_sink_ready = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine3_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine3_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_valid = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_first = sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_last = sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
always @(*) begin
sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine3_cmd_buffer_lookahead_replace) begin
sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine3_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine3_cmd_buffer_lookahead_produce;
end
end
assign sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
assign sdram_bankmachine3_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | sdram_bankmachine3_cmd_buffer_lookahead_replace));
assign sdram_bankmachine3_cmd_buffer_lookahead_do_read = (sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re);
assign sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine3_cmd_buffer_lookahead_consume;
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (sdram_bankmachine3_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (sdram_bankmachine3_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine3_cmd_buffer_pipe_ce = (sdram_bankmachine3_cmd_buffer_source_ready | (~sdram_bankmachine3_cmd_buffer_valid_n));
assign sdram_bankmachine3_cmd_buffer_sink_ready = sdram_bankmachine3_cmd_buffer_pipe_ce;
assign sdram_bankmachine3_cmd_buffer_source_valid = sdram_bankmachine3_cmd_buffer_valid_n;
assign sdram_bankmachine3_cmd_buffer_busy = (1'd0 | sdram_bankmachine3_cmd_buffer_valid_n);
assign sdram_bankmachine3_cmd_buffer_source_first = sdram_bankmachine3_cmd_buffer_first_n;
assign sdram_bankmachine3_cmd_buffer_source_last = sdram_bankmachine3_cmd_buffer_last_n;
assign sdram_bankmachine3_done = (sdram_bankmachine3_count == 1'd0);
always @(*) begin
sdram_bankmachine3_cmd_payload_we <= 1'd0;
sdram_bankmachine3_cmd_payload_is_cmd <= 1'd0;
sdram_bankmachine3_req_wdata_ready <= 1'd0;
sdram_bankmachine3_track_open <= 1'd0;
sdram_bankmachine3_cmd_payload_is_write <= 1'd0;
sdram_bankmachine3_track_close <= 1'd0;
sdram_bankmachine3_req_rdata_valid <= 1'd0;
sdram_bankmachine3_cmd_payload_is_read <= 1'd0;
sdram_bankmachine3_refresh_gnt <= 1'd0;
sdram_bankmachine3_sel_row_addr <= 1'd0;
sdram_bankmachine3_cmd_valid <= 1'd0;
bankmachine3_next_state <= 4'd0;
sdram_bankmachine3_cmd_payload_cas <= 1'd0;
sdram_bankmachine3_cmd_payload_ras <= 1'd0;
bankmachine3_next_state <= bankmachine3_state;
case (bankmachine3_state)
1'd1: begin
if (sdram_bankmachine3_done) begin
sdram_bankmachine3_cmd_valid <= 1'd1;
if (sdram_bankmachine3_cmd_ready) begin
bankmachine3_next_state <= 3'd5;
end
sdram_bankmachine3_cmd_payload_ras <= 1'd1;
sdram_bankmachine3_cmd_payload_we <= 1'd1;
sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
sdram_bankmachine3_track_close <= 1'd1;
end
2'd2: begin
if (sdram_bankmachine3_done) begin
bankmachine3_next_state <= 3'd5;
end
sdram_bankmachine3_track_close <= 1'd1;
end
2'd3: begin
sdram_bankmachine3_sel_row_addr <= 1'd1;
sdram_bankmachine3_track_open <= 1'd1;
sdram_bankmachine3_cmd_valid <= sdram_bankmachine3_ras_allowed;
sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
if ((sdram_bankmachine3_cmd_ready & sdram_bankmachine3_ras_allowed)) begin
bankmachine3_next_state <= 3'd7;
end
sdram_bankmachine3_cmd_payload_ras <= 1'd1;
end
3'd4: begin
if (sdram_bankmachine3_done) begin
sdram_bankmachine3_refresh_gnt <= 1'd1;
end
sdram_bankmachine3_track_close <= 1'd1;
sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
if ((~sdram_bankmachine3_refresh_req)) begin
bankmachine3_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine3_next_state <= 3'd6;
end
3'd6: begin
bankmachine3_next_state <= 2'd3;
end
3'd7: begin
bankmachine3_next_state <= 4'd8;
end
4'd8: begin
bankmachine3_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine3_refresh_req) begin
bankmachine3_next_state <= 3'd4;
end else begin
if (sdram_bankmachine3_cmd_buffer_source_valid) begin
if (sdram_bankmachine3_has_openrow) begin
if (sdram_bankmachine3_hit) begin
if (sdram_bankmachine3_cas_allowed) begin
sdram_bankmachine3_cmd_valid <= 1'd1;
if (sdram_bankmachine3_cmd_buffer_source_payload_we) begin
sdram_bankmachine3_req_wdata_ready <= sdram_bankmachine3_cmd_ready;
sdram_bankmachine3_cmd_payload_is_write <= 1'd1;
sdram_bankmachine3_cmd_payload_we <= 1'd1;
end else begin
sdram_bankmachine3_req_rdata_valid <= sdram_bankmachine3_cmd_ready;
sdram_bankmachine3_cmd_payload_is_read <= 1'd1;
end
sdram_bankmachine3_cmd_payload_cas <= 1'd1;
if ((sdram_bankmachine3_cmd_ready & sdram_bankmachine3_auto_precharge)) begin
bankmachine3_next_state <= 2'd2;
end
end
end else begin
bankmachine3_next_state <= 1'd1;
end
end else begin
bankmachine3_next_state <= 2'd3;
end
end
end
end
endcase
end
assign sdram_bankmachine4_cmd_buffer_lookahead_sink_valid = sdram_bankmachine4_req_valid;
assign sdram_bankmachine4_req_ready = sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine4_req_we;
assign sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine4_req_addr;
assign sdram_bankmachine4_cmd_buffer_sink_valid = sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_ready = sdram_bankmachine4_cmd_buffer_sink_ready;
assign sdram_bankmachine4_cmd_buffer_sink_first = sdram_bankmachine4_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine4_cmd_buffer_sink_last = sdram_bankmachine4_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine4_cmd_buffer_sink_payload_we = sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine4_cmd_buffer_sink_payload_addr = sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine4_cmd_buffer_source_ready = (sdram_bankmachine4_req_wdata_ready | sdram_bankmachine4_req_rdata_valid);
assign sdram_bankmachine4_req_lock = (sdram_bankmachine4_cmd_buffer_lookahead_source_valid | sdram_bankmachine4_cmd_buffer_source_valid);
assign sdram_bankmachine4_hit = (sdram_bankmachine4_openrow == sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine4_cmd_payload_ba = 3'd4;
always @(*) begin
sdram_bankmachine4_cmd_payload_a <= 14'd0;
if (sdram_bankmachine4_sel_row_addr) begin
sdram_bankmachine4_cmd_payload_a <= sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine4_cmd_payload_a <= ((sdram_bankmachine4_auto_precharge <<< 4'd10) | {sdram_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign sdram_bankmachine4_wait = (~((sdram_bankmachine4_cmd_valid & sdram_bankmachine4_cmd_ready) & sdram_bankmachine4_cmd_payload_is_write));
always @(*) begin
sdram_bankmachine4_auto_precharge <= 1'd0;
if ((sdram_bankmachine4_cmd_buffer_lookahead_source_valid & sdram_bankmachine4_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine4_auto_precharge <= (sdram_bankmachine4_track_close == 1'd0);
end
end
end
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
assign sdram_bankmachine4_cmd_buffer_lookahead_sink_ready = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine4_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine4_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_valid = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_first = sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_last = sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
always @(*) begin
sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine4_cmd_buffer_lookahead_replace) begin
sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine4_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine4_cmd_buffer_lookahead_produce;
end
end
assign sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
assign sdram_bankmachine4_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | sdram_bankmachine4_cmd_buffer_lookahead_replace));
assign sdram_bankmachine4_cmd_buffer_lookahead_do_read = (sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re);
assign sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine4_cmd_buffer_lookahead_consume;
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (sdram_bankmachine4_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (sdram_bankmachine4_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine4_cmd_buffer_pipe_ce = (sdram_bankmachine4_cmd_buffer_source_ready | (~sdram_bankmachine4_cmd_buffer_valid_n));
assign sdram_bankmachine4_cmd_buffer_sink_ready = sdram_bankmachine4_cmd_buffer_pipe_ce;
assign sdram_bankmachine4_cmd_buffer_source_valid = sdram_bankmachine4_cmd_buffer_valid_n;
assign sdram_bankmachine4_cmd_buffer_busy = (1'd0 | sdram_bankmachine4_cmd_buffer_valid_n);
assign sdram_bankmachine4_cmd_buffer_source_first = sdram_bankmachine4_cmd_buffer_first_n;
assign sdram_bankmachine4_cmd_buffer_source_last = sdram_bankmachine4_cmd_buffer_last_n;
assign sdram_bankmachine4_done = (sdram_bankmachine4_count == 1'd0);
always @(*) begin
sdram_bankmachine4_cmd_payload_cas <= 1'd0;
sdram_bankmachine4_cmd_payload_ras <= 1'd0;
sdram_bankmachine4_cmd_payload_we <= 1'd0;
sdram_bankmachine4_cmd_payload_is_cmd <= 1'd0;
sdram_bankmachine4_req_wdata_ready <= 1'd0;
sdram_bankmachine4_track_open <= 1'd0;
sdram_bankmachine4_cmd_payload_is_write <= 1'd0;
sdram_bankmachine4_track_close <= 1'd0;
sdram_bankmachine4_req_rdata_valid <= 1'd0;
sdram_bankmachine4_cmd_payload_is_read <= 1'd0;
sdram_bankmachine4_refresh_gnt <= 1'd0;
sdram_bankmachine4_sel_row_addr <= 1'd0;
sdram_bankmachine4_cmd_valid <= 1'd0;
bankmachine4_next_state <= 4'd0;
bankmachine4_next_state <= bankmachine4_state;
case (bankmachine4_state)
1'd1: begin
if (sdram_bankmachine4_done) begin
sdram_bankmachine4_cmd_valid <= 1'd1;
if (sdram_bankmachine4_cmd_ready) begin
bankmachine4_next_state <= 3'd5;
end
sdram_bankmachine4_cmd_payload_ras <= 1'd1;
sdram_bankmachine4_cmd_payload_we <= 1'd1;
sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
sdram_bankmachine4_track_close <= 1'd1;
end
2'd2: begin
if (sdram_bankmachine4_done) begin
bankmachine4_next_state <= 3'd5;
end
sdram_bankmachine4_track_close <= 1'd1;
end
2'd3: begin
sdram_bankmachine4_sel_row_addr <= 1'd1;
sdram_bankmachine4_track_open <= 1'd1;
sdram_bankmachine4_cmd_valid <= sdram_bankmachine4_ras_allowed;
sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
if ((sdram_bankmachine4_cmd_ready & sdram_bankmachine4_ras_allowed)) begin
bankmachine4_next_state <= 3'd7;
end
sdram_bankmachine4_cmd_payload_ras <= 1'd1;
end
3'd4: begin
if (sdram_bankmachine4_done) begin
sdram_bankmachine4_refresh_gnt <= 1'd1;
end
sdram_bankmachine4_track_close <= 1'd1;
sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
if ((~sdram_bankmachine4_refresh_req)) begin
bankmachine4_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine4_next_state <= 3'd6;
end
3'd6: begin
bankmachine4_next_state <= 2'd3;
end
3'd7: begin
bankmachine4_next_state <= 4'd8;
end
4'd8: begin
bankmachine4_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine4_refresh_req) begin
bankmachine4_next_state <= 3'd4;
end else begin
if (sdram_bankmachine4_cmd_buffer_source_valid) begin
if (sdram_bankmachine4_has_openrow) begin
if (sdram_bankmachine4_hit) begin
if (sdram_bankmachine4_cas_allowed) begin
sdram_bankmachine4_cmd_valid <= 1'd1;
if (sdram_bankmachine4_cmd_buffer_source_payload_we) begin
sdram_bankmachine4_req_wdata_ready <= sdram_bankmachine4_cmd_ready;
sdram_bankmachine4_cmd_payload_is_write <= 1'd1;
sdram_bankmachine4_cmd_payload_we <= 1'd1;
end else begin
sdram_bankmachine4_req_rdata_valid <= sdram_bankmachine4_cmd_ready;
sdram_bankmachine4_cmd_payload_is_read <= 1'd1;
end
sdram_bankmachine4_cmd_payload_cas <= 1'd1;
if ((sdram_bankmachine4_cmd_ready & sdram_bankmachine4_auto_precharge)) begin
bankmachine4_next_state <= 2'd2;
end
end
end else begin
bankmachine4_next_state <= 1'd1;
end
end else begin
bankmachine4_next_state <= 2'd3;
end
end
end
end
endcase
end
assign sdram_bankmachine5_cmd_buffer_lookahead_sink_valid = sdram_bankmachine5_req_valid;
assign sdram_bankmachine5_req_ready = sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine5_req_we;
assign sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine5_req_addr;
assign sdram_bankmachine5_cmd_buffer_sink_valid = sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_ready = sdram_bankmachine5_cmd_buffer_sink_ready;
assign sdram_bankmachine5_cmd_buffer_sink_first = sdram_bankmachine5_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine5_cmd_buffer_sink_last = sdram_bankmachine5_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine5_cmd_buffer_sink_payload_we = sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine5_cmd_buffer_sink_payload_addr = sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine5_cmd_buffer_source_ready = (sdram_bankmachine5_req_wdata_ready | sdram_bankmachine5_req_rdata_valid);
assign sdram_bankmachine5_req_lock = (sdram_bankmachine5_cmd_buffer_lookahead_source_valid | sdram_bankmachine5_cmd_buffer_source_valid);
assign sdram_bankmachine5_hit = (sdram_bankmachine5_openrow == sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine5_cmd_payload_ba = 3'd5;
always @(*) begin
sdram_bankmachine5_cmd_payload_a <= 14'd0;
if (sdram_bankmachine5_sel_row_addr) begin
sdram_bankmachine5_cmd_payload_a <= sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine5_cmd_payload_a <= ((sdram_bankmachine5_auto_precharge <<< 4'd10) | {sdram_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign sdram_bankmachine5_wait = (~((sdram_bankmachine5_cmd_valid & sdram_bankmachine5_cmd_ready) & sdram_bankmachine5_cmd_payload_is_write));
always @(*) begin
sdram_bankmachine5_auto_precharge <= 1'd0;
if ((sdram_bankmachine5_cmd_buffer_lookahead_source_valid & sdram_bankmachine5_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine5_auto_precharge <= (sdram_bankmachine5_track_close == 1'd0);
end
end
end
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
assign sdram_bankmachine5_cmd_buffer_lookahead_sink_ready = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine5_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine5_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_valid = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_first = sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_last = sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
always @(*) begin
sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine5_cmd_buffer_lookahead_replace) begin
sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine5_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine5_cmd_buffer_lookahead_produce;
end
end
assign sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
assign sdram_bankmachine5_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | sdram_bankmachine5_cmd_buffer_lookahead_replace));
assign sdram_bankmachine5_cmd_buffer_lookahead_do_read = (sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re);
assign sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine5_cmd_buffer_lookahead_consume;
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (sdram_bankmachine5_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (sdram_bankmachine5_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine5_cmd_buffer_pipe_ce = (sdram_bankmachine5_cmd_buffer_source_ready | (~sdram_bankmachine5_cmd_buffer_valid_n));
assign sdram_bankmachine5_cmd_buffer_sink_ready = sdram_bankmachine5_cmd_buffer_pipe_ce;
assign sdram_bankmachine5_cmd_buffer_source_valid = sdram_bankmachine5_cmd_buffer_valid_n;
assign sdram_bankmachine5_cmd_buffer_busy = (1'd0 | sdram_bankmachine5_cmd_buffer_valid_n);
assign sdram_bankmachine5_cmd_buffer_source_first = sdram_bankmachine5_cmd_buffer_first_n;
assign sdram_bankmachine5_cmd_buffer_source_last = sdram_bankmachine5_cmd_buffer_last_n;
assign sdram_bankmachine5_done = (sdram_bankmachine5_count == 1'd0);
always @(*) begin
sdram_bankmachine5_cmd_payload_cas <= 1'd0;
sdram_bankmachine5_cmd_payload_ras <= 1'd0;
sdram_bankmachine5_cmd_payload_we <= 1'd0;
sdram_bankmachine5_cmd_payload_is_cmd <= 1'd0;
sdram_bankmachine5_req_wdata_ready <= 1'd0;
sdram_bankmachine5_track_open <= 1'd0;
sdram_bankmachine5_cmd_payload_is_write <= 1'd0;
sdram_bankmachine5_track_close <= 1'd0;
sdram_bankmachine5_req_rdata_valid <= 1'd0;
sdram_bankmachine5_cmd_payload_is_read <= 1'd0;
bankmachine5_next_state <= 4'd0;
sdram_bankmachine5_refresh_gnt <= 1'd0;
sdram_bankmachine5_sel_row_addr <= 1'd0;
sdram_bankmachine5_cmd_valid <= 1'd0;
bankmachine5_next_state <= bankmachine5_state;
case (bankmachine5_state)
1'd1: begin
if (sdram_bankmachine5_done) begin
sdram_bankmachine5_cmd_valid <= 1'd1;
if (sdram_bankmachine5_cmd_ready) begin
bankmachine5_next_state <= 3'd5;
end
sdram_bankmachine5_cmd_payload_ras <= 1'd1;
sdram_bankmachine5_cmd_payload_we <= 1'd1;
sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
end
sdram_bankmachine5_track_close <= 1'd1;
end
2'd2: begin
if (sdram_bankmachine5_done) begin
bankmachine5_next_state <= 3'd5;
end
sdram_bankmachine5_track_close <= 1'd1;
end
2'd3: begin
sdram_bankmachine5_sel_row_addr <= 1'd1;
sdram_bankmachine5_track_open <= 1'd1;
sdram_bankmachine5_cmd_valid <= sdram_bankmachine5_ras_allowed;
sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
if ((sdram_bankmachine5_cmd_ready & sdram_bankmachine5_ras_allowed)) begin
bankmachine5_next_state <= 3'd7;
end
sdram_bankmachine5_cmd_payload_ras <= 1'd1;
end
3'd4: begin
if (sdram_bankmachine5_done) begin
sdram_bankmachine5_refresh_gnt <= 1'd1;
end
sdram_bankmachine5_track_close <= 1'd1;
sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
if ((~sdram_bankmachine5_refresh_req)) begin
bankmachine5_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine5_next_state <= 3'd6;
end
3'd6: begin
bankmachine5_next_state <= 2'd3;
end
3'd7: begin
bankmachine5_next_state <= 4'd8;
end
4'd8: begin
bankmachine5_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine5_refresh_req) begin
bankmachine5_next_state <= 3'd4;
end else begin
if (sdram_bankmachine5_cmd_buffer_source_valid) begin
if (sdram_bankmachine5_has_openrow) begin
if (sdram_bankmachine5_hit) begin
if (sdram_bankmachine5_cas_allowed) begin
sdram_bankmachine5_cmd_valid <= 1'd1;
if (sdram_bankmachine5_cmd_buffer_source_payload_we) begin
sdram_bankmachine5_req_wdata_ready <= sdram_bankmachine5_cmd_ready;
sdram_bankmachine5_cmd_payload_is_write <= 1'd1;
sdram_bankmachine5_cmd_payload_we <= 1'd1;
end else begin
sdram_bankmachine5_req_rdata_valid <= sdram_bankmachine5_cmd_ready;
sdram_bankmachine5_cmd_payload_is_read <= 1'd1;
end
sdram_bankmachine5_cmd_payload_cas <= 1'd1;
if ((sdram_bankmachine5_cmd_ready & sdram_bankmachine5_auto_precharge)) begin
bankmachine5_next_state <= 2'd2;
end
end
end else begin
bankmachine5_next_state <= 1'd1;
end
end else begin
bankmachine5_next_state <= 2'd3;
end
end
end
end
endcase
end
assign sdram_bankmachine6_cmd_buffer_lookahead_sink_valid = sdram_bankmachine6_req_valid;
assign sdram_bankmachine6_req_ready = sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine6_req_we;
assign sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine6_req_addr;
assign sdram_bankmachine6_cmd_buffer_sink_valid = sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_ready = sdram_bankmachine6_cmd_buffer_sink_ready;
assign sdram_bankmachine6_cmd_buffer_sink_first = sdram_bankmachine6_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine6_cmd_buffer_sink_last = sdram_bankmachine6_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine6_cmd_buffer_sink_payload_we = sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine6_cmd_buffer_sink_payload_addr = sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine6_cmd_buffer_source_ready = (sdram_bankmachine6_req_wdata_ready | sdram_bankmachine6_req_rdata_valid);
assign sdram_bankmachine6_req_lock = (sdram_bankmachine6_cmd_buffer_lookahead_source_valid | sdram_bankmachine6_cmd_buffer_source_valid);
assign sdram_bankmachine6_hit = (sdram_bankmachine6_openrow == sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine6_cmd_payload_ba = 3'd6;
always @(*) begin
sdram_bankmachine6_cmd_payload_a <= 14'd0;
if (sdram_bankmachine6_sel_row_addr) begin
sdram_bankmachine6_cmd_payload_a <= sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine6_cmd_payload_a <= ((sdram_bankmachine6_auto_precharge <<< 4'd10) | {sdram_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign sdram_bankmachine6_wait = (~((sdram_bankmachine6_cmd_valid & sdram_bankmachine6_cmd_ready) & sdram_bankmachine6_cmd_payload_is_write));
always @(*) begin
sdram_bankmachine6_auto_precharge <= 1'd0;
if ((sdram_bankmachine6_cmd_buffer_lookahead_source_valid & sdram_bankmachine6_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine6_auto_precharge <= (sdram_bankmachine6_track_close == 1'd0);
end
end
end
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
assign sdram_bankmachine6_cmd_buffer_lookahead_sink_ready = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine6_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine6_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_valid = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_first = sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_last = sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
always @(*) begin
sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine6_cmd_buffer_lookahead_replace) begin
sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine6_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine6_cmd_buffer_lookahead_produce;
end
end
assign sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
assign sdram_bankmachine6_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | sdram_bankmachine6_cmd_buffer_lookahead_replace));
assign sdram_bankmachine6_cmd_buffer_lookahead_do_read = (sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re);
assign sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine6_cmd_buffer_lookahead_consume;
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (sdram_bankmachine6_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (sdram_bankmachine6_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine6_cmd_buffer_pipe_ce = (sdram_bankmachine6_cmd_buffer_source_ready | (~sdram_bankmachine6_cmd_buffer_valid_n));
assign sdram_bankmachine6_cmd_buffer_sink_ready = sdram_bankmachine6_cmd_buffer_pipe_ce;
assign sdram_bankmachine6_cmd_buffer_source_valid = sdram_bankmachine6_cmd_buffer_valid_n;
assign sdram_bankmachine6_cmd_buffer_busy = (1'd0 | sdram_bankmachine6_cmd_buffer_valid_n);
assign sdram_bankmachine6_cmd_buffer_source_first = sdram_bankmachine6_cmd_buffer_first_n;
assign sdram_bankmachine6_cmd_buffer_source_last = sdram_bankmachine6_cmd_buffer_last_n;
assign sdram_bankmachine6_done = (sdram_bankmachine6_count == 1'd0);
always @(*) begin
sdram_bankmachine6_req_rdata_valid <= 1'd0;
sdram_bankmachine6_cmd_valid <= 1'd0;
sdram_bankmachine6_cmd_payload_cas <= 1'd0;
sdram_bankmachine6_cmd_payload_ras <= 1'd0;
sdram_bankmachine6_cmd_payload_we <= 1'd0;
sdram_bankmachine6_cmd_payload_is_cmd <= 1'd0;
bankmachine6_next_state <= 4'd0;
sdram_bankmachine6_req_wdata_ready <= 1'd0;
sdram_bankmachine6_track_open <= 1'd0;
sdram_bankmachine6_cmd_payload_is_write <= 1'd0;
sdram_bankmachine6_track_close <= 1'd0;
sdram_bankmachine6_cmd_payload_is_read <= 1'd0;
sdram_bankmachine6_refresh_gnt <= 1'd0;
sdram_bankmachine6_sel_row_addr <= 1'd0;
bankmachine6_next_state <= bankmachine6_state;
case (bankmachine6_state)
1'd1: begin
if (sdram_bankmachine6_done) begin
sdram_bankmachine6_cmd_valid <= 1'd1;
if (sdram_bankmachine6_cmd_ready) begin
bankmachine6_next_state <= 3'd5;
end
sdram_bankmachine6_cmd_payload_ras <= 1'd1;
sdram_bankmachine6_cmd_payload_we <= 1'd1;
sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
sdram_bankmachine6_track_close <= 1'd1;
end
2'd2: begin
if (sdram_bankmachine6_done) begin
bankmachine6_next_state <= 3'd5;
end
sdram_bankmachine6_track_close <= 1'd1;
end
2'd3: begin
sdram_bankmachine6_sel_row_addr <= 1'd1;
sdram_bankmachine6_track_open <= 1'd1;
sdram_bankmachine6_cmd_valid <= sdram_bankmachine6_ras_allowed;
sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
if ((sdram_bankmachine6_cmd_ready & sdram_bankmachine6_ras_allowed)) begin
bankmachine6_next_state <= 3'd7;
end
sdram_bankmachine6_cmd_payload_ras <= 1'd1;
end
3'd4: begin
if (sdram_bankmachine6_done) begin
sdram_bankmachine6_refresh_gnt <= 1'd1;
end
sdram_bankmachine6_track_close <= 1'd1;
sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
if ((~sdram_bankmachine6_refresh_req)) begin
bankmachine6_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine6_next_state <= 3'd6;
end
3'd6: begin
bankmachine6_next_state <= 2'd3;
end
3'd7: begin
bankmachine6_next_state <= 4'd8;
end
4'd8: begin
bankmachine6_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine6_refresh_req) begin
bankmachine6_next_state <= 3'd4;
end else begin
if (sdram_bankmachine6_cmd_buffer_source_valid) begin
if (sdram_bankmachine6_has_openrow) begin
if (sdram_bankmachine6_hit) begin
if (sdram_bankmachine6_cas_allowed) begin
sdram_bankmachine6_cmd_valid <= 1'd1;
if (sdram_bankmachine6_cmd_buffer_source_payload_we) begin
sdram_bankmachine6_req_wdata_ready <= sdram_bankmachine6_cmd_ready;
sdram_bankmachine6_cmd_payload_is_write <= 1'd1;
sdram_bankmachine6_cmd_payload_we <= 1'd1;
end else begin
sdram_bankmachine6_req_rdata_valid <= sdram_bankmachine6_cmd_ready;
sdram_bankmachine6_cmd_payload_is_read <= 1'd1;
end
sdram_bankmachine6_cmd_payload_cas <= 1'd1;
if ((sdram_bankmachine6_cmd_ready & sdram_bankmachine6_auto_precharge)) begin
bankmachine6_next_state <= 2'd2;
end
end
end else begin
bankmachine6_next_state <= 1'd1;
end
end else begin
bankmachine6_next_state <= 2'd3;
end
end
end
end
endcase
end
assign sdram_bankmachine7_cmd_buffer_lookahead_sink_valid = sdram_bankmachine7_req_valid;
assign sdram_bankmachine7_req_ready = sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
assign sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we = sdram_bankmachine7_req_we;
assign sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = sdram_bankmachine7_req_addr;
assign sdram_bankmachine7_cmd_buffer_sink_valid = sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_ready = sdram_bankmachine7_cmd_buffer_sink_ready;
assign sdram_bankmachine7_cmd_buffer_sink_first = sdram_bankmachine7_cmd_buffer_lookahead_source_first;
assign sdram_bankmachine7_cmd_buffer_sink_last = sdram_bankmachine7_cmd_buffer_lookahead_source_last;
assign sdram_bankmachine7_cmd_buffer_sink_payload_we = sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
assign sdram_bankmachine7_cmd_buffer_sink_payload_addr = sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
assign sdram_bankmachine7_cmd_buffer_source_ready = (sdram_bankmachine7_req_wdata_ready | sdram_bankmachine7_req_rdata_valid);
assign sdram_bankmachine7_req_lock = (sdram_bankmachine7_cmd_buffer_lookahead_source_valid | sdram_bankmachine7_cmd_buffer_source_valid);
assign sdram_bankmachine7_hit = (sdram_bankmachine7_openrow == sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7]);
assign sdram_bankmachine7_cmd_payload_ba = 3'd7;
always @(*) begin
sdram_bankmachine7_cmd_payload_a <= 14'd0;
if (sdram_bankmachine7_sel_row_addr) begin
sdram_bankmachine7_cmd_payload_a <= sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7];
end else begin
sdram_bankmachine7_cmd_payload_a <= ((sdram_bankmachine7_auto_precharge <<< 4'd10) | {sdram_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
end
assign sdram_bankmachine7_wait = (~((sdram_bankmachine7_cmd_valid & sdram_bankmachine7_cmd_ready) & sdram_bankmachine7_cmd_payload_is_write));
always @(*) begin
sdram_bankmachine7_auto_precharge <= 1'd0;
if ((sdram_bankmachine7_cmd_buffer_lookahead_source_valid & sdram_bankmachine7_cmd_buffer_source_valid)) begin
if ((sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr[20:7] != sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7])) begin
sdram_bankmachine7_auto_precharge <= (sdram_bankmachine7_track_close == 1'd0);
end
end
end
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last, sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first, sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we};
assign {sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
assign sdram_bankmachine7_cmd_buffer_lookahead_sink_ready = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
assign sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first = sdram_bankmachine7_cmd_buffer_lookahead_sink_first;
assign sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last = sdram_bankmachine7_cmd_buffer_lookahead_sink_last;
assign sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
assign sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_valid = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_first = sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_last = sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we = sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
assign sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr = sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
always @(*) begin
sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 3'd0;
if (sdram_bankmachine7_cmd_buffer_lookahead_replace) begin
sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (sdram_bankmachine7_cmd_buffer_lookahead_produce - 1'd1);
end else begin
sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= sdram_bankmachine7_cmd_buffer_lookahead_produce;
end
end
assign sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
assign sdram_bankmachine7_cmd_buffer_lookahead_wrport_we = (sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | sdram_bankmachine7_cmd_buffer_lookahead_replace));
assign sdram_bankmachine7_cmd_buffer_lookahead_do_read = (sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re);
assign sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr = sdram_bankmachine7_cmd_buffer_lookahead_consume;
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (sdram_bankmachine7_cmd_buffer_lookahead_level != 4'd8);
assign sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (sdram_bankmachine7_cmd_buffer_lookahead_level != 1'd0);
assign sdram_bankmachine7_cmd_buffer_pipe_ce = (sdram_bankmachine7_cmd_buffer_source_ready | (~sdram_bankmachine7_cmd_buffer_valid_n));
assign sdram_bankmachine7_cmd_buffer_sink_ready = sdram_bankmachine7_cmd_buffer_pipe_ce;
assign sdram_bankmachine7_cmd_buffer_source_valid = sdram_bankmachine7_cmd_buffer_valid_n;
assign sdram_bankmachine7_cmd_buffer_busy = (1'd0 | sdram_bankmachine7_cmd_buffer_valid_n);
assign sdram_bankmachine7_cmd_buffer_source_first = sdram_bankmachine7_cmd_buffer_first_n;
assign sdram_bankmachine7_cmd_buffer_source_last = sdram_bankmachine7_cmd_buffer_last_n;
assign sdram_bankmachine7_done = (sdram_bankmachine7_count == 1'd0);
always @(*) begin
sdram_bankmachine7_refresh_gnt <= 1'd0;
sdram_bankmachine7_sel_row_addr <= 1'd0;
sdram_bankmachine7_req_rdata_valid <= 1'd0;
sdram_bankmachine7_cmd_valid <= 1'd0;
sdram_bankmachine7_cmd_payload_is_read <= 1'd0;
bankmachine7_next_state <= 4'd0;
sdram_bankmachine7_cmd_payload_cas <= 1'd0;
sdram_bankmachine7_cmd_payload_ras <= 1'd0;
sdram_bankmachine7_cmd_payload_we <= 1'd0;
sdram_bankmachine7_cmd_payload_is_cmd <= 1'd0;
sdram_bankmachine7_req_wdata_ready <= 1'd0;
sdram_bankmachine7_track_open <= 1'd0;
sdram_bankmachine7_cmd_payload_is_write <= 1'd0;
sdram_bankmachine7_track_close <= 1'd0;
bankmachine7_next_state <= bankmachine7_state;
case (bankmachine7_state)
1'd1: begin
if (sdram_bankmachine7_done) begin
sdram_bankmachine7_cmd_valid <= 1'd1;
if (sdram_bankmachine7_cmd_ready) begin
bankmachine7_next_state <= 3'd5;
end
sdram_bankmachine7_cmd_payload_ras <= 1'd1;
sdram_bankmachine7_cmd_payload_we <= 1'd1;
sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
sdram_bankmachine7_track_close <= 1'd1;
end
2'd2: begin
if (sdram_bankmachine7_done) begin
bankmachine7_next_state <= 3'd5;
end
sdram_bankmachine7_track_close <= 1'd1;
end
2'd3: begin
sdram_bankmachine7_sel_row_addr <= 1'd1;
sdram_bankmachine7_track_open <= 1'd1;
sdram_bankmachine7_cmd_valid <= sdram_bankmachine7_ras_allowed;
sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
if ((sdram_bankmachine7_cmd_ready & sdram_bankmachine7_ras_allowed)) begin
bankmachine7_next_state <= 3'd7;
end
sdram_bankmachine7_cmd_payload_ras <= 1'd1;
end
3'd4: begin
if (sdram_bankmachine7_done) begin
sdram_bankmachine7_refresh_gnt <= 1'd1;
end
sdram_bankmachine7_track_close <= 1'd1;
sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
if ((~sdram_bankmachine7_refresh_req)) begin
bankmachine7_next_state <= 1'd0;
end
end
3'd5: begin
bankmachine7_next_state <= 3'd6;
end
3'd6: begin
bankmachine7_next_state <= 2'd3;
end
3'd7: begin
bankmachine7_next_state <= 4'd8;
end
4'd8: begin
bankmachine7_next_state <= 1'd0;
end
default: begin
if (sdram_bankmachine7_refresh_req) begin
bankmachine7_next_state <= 3'd4;
end else begin
if (sdram_bankmachine7_cmd_buffer_source_valid) begin
if (sdram_bankmachine7_has_openrow) begin
if (sdram_bankmachine7_hit) begin
if (sdram_bankmachine7_cas_allowed) begin
sdram_bankmachine7_cmd_valid <= 1'd1;
if (sdram_bankmachine7_cmd_buffer_source_payload_we) begin
sdram_bankmachine7_req_wdata_ready <= sdram_bankmachine7_cmd_ready;
sdram_bankmachine7_cmd_payload_is_write <= 1'd1;
sdram_bankmachine7_cmd_payload_we <= 1'd1;
end else begin
sdram_bankmachine7_req_rdata_valid <= sdram_bankmachine7_cmd_ready;
sdram_bankmachine7_cmd_payload_is_read <= 1'd1;
end
sdram_bankmachine7_cmd_payload_cas <= 1'd1;
if ((sdram_bankmachine7_cmd_ready & sdram_bankmachine7_auto_precharge)) begin
bankmachine7_next_state <= 2'd2;
end
end
end else begin
bankmachine7_next_state <= 1'd1;
end
end else begin
bankmachine7_next_state <= 2'd3;
end
end
end
end
endcase
end
assign sdram_trrdcon_valid = ((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & ((sdram_choose_cmd_cmd_payload_ras & (~sdram_choose_cmd_cmd_payload_cas)) & (~sdram_choose_cmd_cmd_payload_we)));
assign sdram_tfawcon_valid = ((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & ((sdram_choose_cmd_cmd_payload_ras & (~sdram_choose_cmd_cmd_payload_cas)) & (~sdram_choose_cmd_cmd_payload_we)));
assign sdram_ras_allowed = (sdram_trrdcon_ready & sdram_tfawcon_ready);
assign sdram_bankmachine0_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine1_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine2_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine3_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine4_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine5_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine6_ras_allowed = sdram_ras_allowed;
assign sdram_bankmachine7_ras_allowed = sdram_ras_allowed;
assign sdram_tccdcon_valid = ((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_cmd_payload_is_write | sdram_choose_req_cmd_payload_is_read));
assign sdram_cas_allowed = sdram_tccdcon_ready;
assign sdram_bankmachine0_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine1_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine2_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine3_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine4_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine5_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine6_cas_allowed = sdram_cas_allowed;
assign sdram_bankmachine7_cas_allowed = sdram_cas_allowed;
assign sdram_twtrcon_valid = ((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & sdram_choose_req_cmd_payload_is_write);
assign sdram_read_available = ((((((((sdram_bankmachine0_cmd_valid & sdram_bankmachine0_cmd_payload_is_read) | (sdram_bankmachine1_cmd_valid & sdram_bankmachine1_cmd_payload_is_read)) | (sdram_bankmachine2_cmd_valid & sdram_bankmachine2_cmd_payload_is_read)) | (sdram_bankmachine3_cmd_valid & sdram_bankmachine3_cmd_payload_is_read)) | (sdram_bankmachine4_cmd_valid & sdram_bankmachine4_cmd_payload_is_read)) | (sdram_bankmachine5_cmd_valid & sdram_bankmachine5_cmd_payload_is_read)) | (sdram_bankmachine6_cmd_valid & sdram_bankmachine6_cmd_payload_is_read)) | (sdram_bankmachine7_cmd_valid & sdram_bankmachine7_cmd_payload_is_read));
assign sdram_write_available = ((((((((sdram_bankmachine0_cmd_valid & sdram_bankmachine0_cmd_payload_is_write) | (sdram_bankmachine1_cmd_valid & sdram_bankmachine1_cmd_payload_is_write)) | (sdram_bankmachine2_cmd_valid & sdram_bankmachine2_cmd_payload_is_write)) | (sdram_bankmachine3_cmd_valid & sdram_bankmachine3_cmd_payload_is_write)) | (sdram_bankmachine4_cmd_valid & sdram_bankmachine4_cmd_payload_is_write)) | (sdram_bankmachine5_cmd_valid & sdram_bankmachine5_cmd_payload_is_write)) | (sdram_bankmachine6_cmd_valid & sdram_bankmachine6_cmd_payload_is_write)) | (sdram_bankmachine7_cmd_valid & sdram_bankmachine7_cmd_payload_is_write));
assign sdram_max_time0 = (sdram_time0 == 1'd0);
assign sdram_max_time1 = (sdram_time1 == 1'd0);
assign sdram_bankmachine0_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine1_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine2_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine3_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine4_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine5_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine6_refresh_req = sdram_cmd_valid;
assign sdram_bankmachine7_refresh_req = sdram_cmd_valid;
assign sdram_go_to_refresh = (((((((sdram_bankmachine0_refresh_gnt & sdram_bankmachine1_refresh_gnt) & sdram_bankmachine2_refresh_gnt) & sdram_bankmachine3_refresh_gnt) & sdram_bankmachine4_refresh_gnt) & sdram_bankmachine5_refresh_gnt) & sdram_bankmachine6_refresh_gnt) & sdram_bankmachine7_refresh_gnt);
assign sdram_interface_rdata = {sdram_dfi_p3_rddata, sdram_dfi_p2_rddata, sdram_dfi_p1_rddata, sdram_dfi_p0_rddata};
assign {sdram_dfi_p3_wrdata, sdram_dfi_p2_wrdata, sdram_dfi_p1_wrdata, sdram_dfi_p0_wrdata} = sdram_interface_wdata;
assign {sdram_dfi_p3_wrdata_mask, sdram_dfi_p2_wrdata_mask, sdram_dfi_p1_wrdata_mask, sdram_dfi_p0_wrdata_mask} = (~sdram_interface_wdata_we);
always @(*) begin
sdram_choose_cmd_valids <= 8'd0;
sdram_choose_cmd_valids[0] <= (sdram_bankmachine0_cmd_valid & (((sdram_bankmachine0_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine0_cmd_payload_ras & (~sdram_bankmachine0_cmd_payload_cas)) & (~sdram_bankmachine0_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine0_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine0_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[1] <= (sdram_bankmachine1_cmd_valid & (((sdram_bankmachine1_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine1_cmd_payload_ras & (~sdram_bankmachine1_cmd_payload_cas)) & (~sdram_bankmachine1_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine1_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine1_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[2] <= (sdram_bankmachine2_cmd_valid & (((sdram_bankmachine2_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine2_cmd_payload_ras & (~sdram_bankmachine2_cmd_payload_cas)) & (~sdram_bankmachine2_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine2_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine2_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[3] <= (sdram_bankmachine3_cmd_valid & (((sdram_bankmachine3_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine3_cmd_payload_ras & (~sdram_bankmachine3_cmd_payload_cas)) & (~sdram_bankmachine3_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine3_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine3_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[4] <= (sdram_bankmachine4_cmd_valid & (((sdram_bankmachine4_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine4_cmd_payload_ras & (~sdram_bankmachine4_cmd_payload_cas)) & (~sdram_bankmachine4_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine4_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine4_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[5] <= (sdram_bankmachine5_cmd_valid & (((sdram_bankmachine5_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine5_cmd_payload_ras & (~sdram_bankmachine5_cmd_payload_cas)) & (~sdram_bankmachine5_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine5_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine5_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[6] <= (sdram_bankmachine6_cmd_valid & (((sdram_bankmachine6_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine6_cmd_payload_ras & (~sdram_bankmachine6_cmd_payload_cas)) & (~sdram_bankmachine6_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine6_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine6_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
sdram_choose_cmd_valids[7] <= (sdram_bankmachine7_cmd_valid & (((sdram_bankmachine7_cmd_payload_is_cmd & sdram_choose_cmd_want_cmds) & ((~((sdram_bankmachine7_cmd_payload_ras & (~sdram_bankmachine7_cmd_payload_cas)) & (~sdram_bankmachine7_cmd_payload_we))) | sdram_choose_cmd_want_activates)) | ((sdram_bankmachine7_cmd_payload_is_read == sdram_choose_cmd_want_reads) & (sdram_bankmachine7_cmd_payload_is_write == sdram_choose_cmd_want_writes))));
end
assign sdram_choose_cmd_request = sdram_choose_cmd_valids;
assign sdram_choose_cmd_cmd_valid = rhs_array_muxed0;
assign sdram_choose_cmd_cmd_payload_a = rhs_array_muxed1;
assign sdram_choose_cmd_cmd_payload_ba = rhs_array_muxed2;
assign sdram_choose_cmd_cmd_payload_is_read = rhs_array_muxed3;
assign sdram_choose_cmd_cmd_payload_is_write = rhs_array_muxed4;
assign sdram_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5;
always @(*) begin
sdram_choose_cmd_cmd_payload_cas <= 1'd0;
if (sdram_choose_cmd_cmd_valid) begin
sdram_choose_cmd_cmd_payload_cas <= t_array_muxed0;
end
end
always @(*) begin
sdram_choose_cmd_cmd_payload_ras <= 1'd0;
if (sdram_choose_cmd_cmd_valid) begin
sdram_choose_cmd_cmd_payload_ras <= t_array_muxed1;
end
end
always @(*) begin
sdram_choose_cmd_cmd_payload_we <= 1'd0;
if (sdram_choose_cmd_cmd_valid) begin
sdram_choose_cmd_cmd_payload_we <= t_array_muxed2;
end
end
assign sdram_choose_cmd_ce = sdram_choose_cmd_cmd_ready;
always @(*) begin
sdram_choose_req_valids <= 8'd0;
sdram_choose_req_valids[0] <= (sdram_bankmachine0_cmd_valid & (((sdram_bankmachine0_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine0_cmd_payload_ras & (~sdram_bankmachine0_cmd_payload_cas)) & (~sdram_bankmachine0_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine0_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine0_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[1] <= (sdram_bankmachine1_cmd_valid & (((sdram_bankmachine1_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine1_cmd_payload_ras & (~sdram_bankmachine1_cmd_payload_cas)) & (~sdram_bankmachine1_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine1_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine1_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[2] <= (sdram_bankmachine2_cmd_valid & (((sdram_bankmachine2_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine2_cmd_payload_ras & (~sdram_bankmachine2_cmd_payload_cas)) & (~sdram_bankmachine2_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine2_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine2_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[3] <= (sdram_bankmachine3_cmd_valid & (((sdram_bankmachine3_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine3_cmd_payload_ras & (~sdram_bankmachine3_cmd_payload_cas)) & (~sdram_bankmachine3_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine3_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine3_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[4] <= (sdram_bankmachine4_cmd_valid & (((sdram_bankmachine4_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine4_cmd_payload_ras & (~sdram_bankmachine4_cmd_payload_cas)) & (~sdram_bankmachine4_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine4_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine4_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[5] <= (sdram_bankmachine5_cmd_valid & (((sdram_bankmachine5_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine5_cmd_payload_ras & (~sdram_bankmachine5_cmd_payload_cas)) & (~sdram_bankmachine5_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine5_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine5_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[6] <= (sdram_bankmachine6_cmd_valid & (((sdram_bankmachine6_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine6_cmd_payload_ras & (~sdram_bankmachine6_cmd_payload_cas)) & (~sdram_bankmachine6_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine6_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine6_cmd_payload_is_write == sdram_choose_req_want_writes))));
sdram_choose_req_valids[7] <= (sdram_bankmachine7_cmd_valid & (((sdram_bankmachine7_cmd_payload_is_cmd & sdram_choose_req_want_cmds) & ((~((sdram_bankmachine7_cmd_payload_ras & (~sdram_bankmachine7_cmd_payload_cas)) & (~sdram_bankmachine7_cmd_payload_we))) | sdram_choose_req_want_activates)) | ((sdram_bankmachine7_cmd_payload_is_read == sdram_choose_req_want_reads) & (sdram_bankmachine7_cmd_payload_is_write == sdram_choose_req_want_writes))));
end
assign sdram_choose_req_request = sdram_choose_req_valids;
assign sdram_choose_req_cmd_valid = rhs_array_muxed6;
assign sdram_choose_req_cmd_payload_a = rhs_array_muxed7;
assign sdram_choose_req_cmd_payload_ba = rhs_array_muxed8;
assign sdram_choose_req_cmd_payload_is_read = rhs_array_muxed9;
assign sdram_choose_req_cmd_payload_is_write = rhs_array_muxed10;
assign sdram_choose_req_cmd_payload_is_cmd = rhs_array_muxed11;
always @(*) begin
sdram_choose_req_cmd_payload_cas <= 1'd0;
if (sdram_choose_req_cmd_valid) begin
sdram_choose_req_cmd_payload_cas <= t_array_muxed3;
end
end
always @(*) begin
sdram_choose_req_cmd_payload_ras <= 1'd0;
if (sdram_choose_req_cmd_valid) begin
sdram_choose_req_cmd_payload_ras <= t_array_muxed4;
end
end
always @(*) begin
sdram_choose_req_cmd_payload_we <= 1'd0;
if (sdram_choose_req_cmd_valid) begin
sdram_choose_req_cmd_payload_we <= t_array_muxed5;
end
end
always @(*) begin
sdram_bankmachine0_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 1'd0))) begin
sdram_bankmachine0_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 1'd0))) begin
sdram_bankmachine0_cmd_ready <= 1'd1;
end
end
always @(*) begin
sdram_bankmachine1_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 1'd1))) begin
sdram_bankmachine1_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 1'd1))) begin
sdram_bankmachine1_cmd_ready <= 1'd1;
end
end
always @(*) begin
sdram_bankmachine2_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 2'd2))) begin
sdram_bankmachine2_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 2'd2))) begin
sdram_bankmachine2_cmd_ready <= 1'd1;
end
end
always @(*) begin
sdram_bankmachine3_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 2'd3))) begin
sdram_bankmachine3_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 2'd3))) begin
sdram_bankmachine3_cmd_ready <= 1'd1;
end
end
always @(*) begin
sdram_bankmachine4_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 3'd4))) begin
sdram_bankmachine4_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 3'd4))) begin
sdram_bankmachine4_cmd_ready <= 1'd1;
end
end
always @(*) begin
sdram_bankmachine5_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 3'd5))) begin
sdram_bankmachine5_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 3'd5))) begin
sdram_bankmachine5_cmd_ready <= 1'd1;
end
end
always @(*) begin
sdram_bankmachine6_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 3'd6))) begin
sdram_bankmachine6_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 3'd6))) begin
sdram_bankmachine6_cmd_ready <= 1'd1;
end
end
always @(*) begin
sdram_bankmachine7_cmd_ready <= 1'd0;
if (((sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_ready) & (sdram_choose_cmd_grant == 3'd7))) begin
sdram_bankmachine7_cmd_ready <= 1'd1;
end
if (((sdram_choose_req_cmd_valid & sdram_choose_req_cmd_ready) & (sdram_choose_req_grant == 3'd7))) begin
sdram_bankmachine7_cmd_ready <= 1'd1;
end
end
assign sdram_choose_req_ce = sdram_choose_req_cmd_ready;
assign sdram_dfi_p0_reset_n = 1'd1;
assign sdram_dfi_p0_cke = 1'd0;
assign sdram_dfi_p0_odt = 1'd0;
assign sdram_dfi_p1_reset_n = 1'd1;
assign sdram_dfi_p1_cke = 1'd0;
assign sdram_dfi_p1_odt = 1'd0;
assign sdram_dfi_p2_reset_n = 1'd1;
assign sdram_dfi_p2_cke = 1'd0;
assign sdram_dfi_p2_odt = 1'd0;
assign sdram_dfi_p3_reset_n = 1'd1;
assign sdram_dfi_p3_cke = 1'd0;
assign sdram_dfi_p3_odt = 1'd0;
assign sdram_tfawcon_count = (((((((sdram_tfawcon_window[0] + sdram_tfawcon_window[1]) + sdram_tfawcon_window[2]) + sdram_tfawcon_window[3]) + sdram_tfawcon_window[4]) + sdram_tfawcon_window[5]) + sdram_tfawcon_window[6]) + sdram_tfawcon_window[7]);
always @(*) begin
sdram_cmd_ready <= 1'd0;
sdram_choose_cmd_want_activates <= 1'd0;
sdram_choose_req_want_reads <= 1'd0;
sdram_choose_cmd_cmd_ready <= 1'd0;
sdram_choose_req_want_writes <= 1'd0;
sdram_en0 <= 1'd0;
sdram_choose_req_cmd_ready <= 1'd0;
multiplexer_next_state <= 4'd0;
sdram_en1 <= 1'd0;
sdram_sel0 <= 2'd0;
sdram_sel1 <= 2'd0;
sdram_sel2 <= 2'd0;
sdram_sel3 <= 2'd0;
multiplexer_next_state <= multiplexer_state;
case (multiplexer_state)
1'd1: begin
sdram_en1 <= 1'd1;
sdram_choose_req_want_writes <= 1'd1;
sdram_choose_cmd_want_activates <= sdram_ras_allowed;
sdram_choose_cmd_cmd_ready <= ((~((sdram_choose_cmd_cmd_payload_ras & (~sdram_choose_cmd_cmd_payload_cas)) & (~sdram_choose_cmd_cmd_payload_we))) | sdram_ras_allowed);
sdram_choose_req_cmd_ready <= 1'd1;
sdram_sel0 <= 1'd0;
sdram_sel1 <= 1'd0;
sdram_sel2 <= 1'd1;
sdram_sel3 <= 2'd2;
if (sdram_read_available) begin
if (((~sdram_write_available) | sdram_max_time1)) begin
multiplexer_next_state <= 2'd3;
end
end
if (sdram_go_to_refresh) begin
multiplexer_next_state <= 2'd2;
end
end
2'd2: begin
sdram_sel0 <= 2'd3;
sdram_cmd_ready <= 1'd1;
if (sdram_cmd_last) begin
multiplexer_next_state <= 1'd0;
end
end
2'd3: begin
if (sdram_twtrcon_ready) begin
multiplexer_next_state <= 1'd0;
end
end
3'd4: begin
multiplexer_next_state <= 3'd5;
end
3'd5: begin
multiplexer_next_state <= 3'd6;
end
3'd6: begin
multiplexer_next_state <= 3'd7;
end
3'd7: begin
multiplexer_next_state <= 4'd8;
end
4'd8: begin
multiplexer_next_state <= 1'd1;
end
default: begin
sdram_en0 <= 1'd1;
sdram_choose_req_want_reads <= 1'd1;
sdram_choose_cmd_want_activates <= sdram_ras_allowed;
sdram_choose_cmd_cmd_ready <= ((~((sdram_choose_cmd_cmd_payload_ras & (~sdram_choose_cmd_cmd_payload_cas)) & (~sdram_choose_cmd_cmd_payload_we))) | sdram_ras_allowed);
sdram_choose_req_cmd_ready <= 1'd1;
sdram_sel0 <= 1'd0;
sdram_sel1 <= 1'd1;
sdram_sel2 <= 2'd2;
sdram_sel3 <= 1'd0;
if (sdram_write_available) begin
if (((~sdram_read_available) | sdram_max_time0)) begin
multiplexer_next_state <= 3'd4;
end
end
if (sdram_go_to_refresh) begin
multiplexer_next_state <= 2'd2;
end
end
endcase
end
assign cba = port_cmd_payload_addr[9:7];
assign rca = {port_cmd_payload_addr[23:10], port_cmd_payload_addr[6:0]};
assign roundrobin0_request = {(((cba == 1'd0) & (~(((((((1'd0 | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin0_ce = ((~sdram_interface_bank0_valid) & (~sdram_interface_bank0_lock));
assign sdram_interface_bank0_addr = rhs_array_muxed12;
assign sdram_interface_bank0_we = rhs_array_muxed13;
assign sdram_interface_bank0_valid = rhs_array_muxed14;
assign roundrobin1_request = {(((cba == 1'd1) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin1_ce = ((~sdram_interface_bank1_valid) & (~sdram_interface_bank1_lock));
assign sdram_interface_bank1_addr = rhs_array_muxed15;
assign sdram_interface_bank1_we = rhs_array_muxed16;
assign sdram_interface_bank1_valid = rhs_array_muxed17;
assign roundrobin2_request = {(((cba == 2'd2) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin2_ce = ((~sdram_interface_bank2_valid) & (~sdram_interface_bank2_lock));
assign sdram_interface_bank2_addr = rhs_array_muxed18;
assign sdram_interface_bank2_we = rhs_array_muxed19;
assign sdram_interface_bank2_valid = rhs_array_muxed20;
assign roundrobin3_request = {(((cba == 2'd3) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin3_ce = ((~sdram_interface_bank3_valid) & (~sdram_interface_bank3_lock));
assign sdram_interface_bank3_addr = rhs_array_muxed21;
assign sdram_interface_bank3_we = rhs_array_muxed22;
assign sdram_interface_bank3_valid = rhs_array_muxed23;
assign roundrobin4_request = {(((cba == 3'd4) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin4_ce = ((~sdram_interface_bank4_valid) & (~sdram_interface_bank4_lock));
assign sdram_interface_bank4_addr = rhs_array_muxed24;
assign sdram_interface_bank4_we = rhs_array_muxed25;
assign sdram_interface_bank4_valid = rhs_array_muxed26;
assign roundrobin5_request = {(((cba == 3'd5) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin5_ce = ((~sdram_interface_bank5_valid) & (~sdram_interface_bank5_lock));
assign sdram_interface_bank5_addr = rhs_array_muxed27;
assign sdram_interface_bank5_we = rhs_array_muxed28;
assign sdram_interface_bank5_valid = rhs_array_muxed29;
assign roundrobin6_request = {(((cba == 3'd6) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin6_ce = ((~sdram_interface_bank6_valid) & (~sdram_interface_bank6_lock));
assign sdram_interface_bank6_addr = rhs_array_muxed30;
assign sdram_interface_bank6_we = rhs_array_muxed31;
assign sdram_interface_bank6_valid = rhs_array_muxed32;
assign roundrobin7_request = {(((cba == 3'd7) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & port_cmd_valid)};
assign roundrobin7_ce = ((~sdram_interface_bank7_valid) & (~sdram_interface_bank7_lock));
assign sdram_interface_bank7_addr = rhs_array_muxed33;
assign sdram_interface_bank7_we = rhs_array_muxed34;
assign sdram_interface_bank7_valid = rhs_array_muxed35;
assign port_cmd_ready = ((((((((1'd0 | (((roundrobin0_grant == 1'd0) & ((cba == 1'd0) & (~(((((((1'd0 | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank0_ready)) | (((roundrobin1_grant == 1'd0) & ((cba == 1'd1) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank1_ready)) | (((roundrobin2_grant == 1'd0) & ((cba == 2'd2) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank2_ready)) | (((roundrobin3_grant == 1'd0) & ((cba == 2'd3) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank3_ready)) | (((roundrobin4_grant == 1'd0) & ((cba == 3'd4) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank4_ready)) | (((roundrobin5_grant == 1'd0) & ((cba == 3'd5) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank5_ready)) | (((roundrobin6_grant == 1'd0) & ((cba == 3'd6) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & sdram_interface_bank6_ready)) | (((roundrobin7_grant == 1'd0) & ((cba == 3'd7) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0)))))) & sdram_interface_bank7_ready));
assign port_wdata_ready = new_master_wdata_ready2;
assign port_rdata_valid = new_master_rdata_valid6;
always @(*) begin
sdram_interface_wdata <= 128'd0;
sdram_interface_wdata_we <= 16'd0;
case ({new_master_wdata_ready2})
1'd1: begin
sdram_interface_wdata <= port_wdata_payload_data;
sdram_interface_wdata_we <= port_wdata_payload_we;
end
default: begin
sdram_interface_wdata <= 1'd0;
sdram_interface_wdata_we <= 1'd0;
end
endcase
end
assign port_rdata_payload_data = sdram_interface_rdata;
assign roundrobin0_grant = 1'd0;
assign roundrobin1_grant = 1'd0;
assign roundrobin2_grant = 1'd0;
assign roundrobin3_grant = 1'd0;
assign roundrobin4_grant = 1'd0;
assign roundrobin5_grant = 1'd0;
assign roundrobin6_grant = 1'd0;
assign roundrobin7_grant = 1'd0;
assign data_port_adr = interface0_wb_sdram_adr[10:2];
always @(*) begin
data_port_dat_w <= 128'd0;
data_port_we <= 16'd0;
if (write_from_slave) begin
data_port_dat_w <= interface_dat_r;
data_port_we <= {16{1'd1}};
end else begin
data_port_dat_w <= {4{interface0_wb_sdram_dat_w}};
if ((((interface0_wb_sdram_cyc & interface0_wb_sdram_stb) & interface0_wb_sdram_we) & interface0_wb_sdram_ack)) begin
data_port_we <= {({4{(interface0_wb_sdram_adr[1:0] == 1'd0)}} & interface0_wb_sdram_sel), ({4{(interface0_wb_sdram_adr[1:0] == 1'd1)}} & interface0_wb_sdram_sel), ({4{(interface0_wb_sdram_adr[1:0] == 2'd2)}} & interface0_wb_sdram_sel), ({4{(interface0_wb_sdram_adr[1:0] == 2'd3)}} & interface0_wb_sdram_sel)};
end
end
end
assign interface_dat_w = data_port_dat_r;
assign interface_sel = 16'd65535;
always @(*) begin
interface0_wb_sdram_dat_r <= 32'd0;
case (adr_offset_r)
1'd0: begin
interface0_wb_sdram_dat_r <= data_port_dat_r[127:96];
end
1'd1: begin
interface0_wb_sdram_dat_r <= data_port_dat_r[95:64];
end
2'd2: begin
interface0_wb_sdram_dat_r <= data_port_dat_r[63:32];
end
default: begin
interface0_wb_sdram_dat_r <= data_port_dat_r[31:0];
end
endcase
end
assign {tag_do_dirty, tag_do_tag} = tag_port_dat_r;
assign tag_port_dat_w = {tag_di_dirty, tag_di_tag};
assign tag_port_adr = interface0_wb_sdram_adr[10:2];
assign tag_di_tag = interface0_wb_sdram_adr[29:11];
assign interface_adr = {tag_do_tag, interface0_wb_sdram_adr[10:2]};
always @(*) begin
tag_di_dirty <= 1'd0;
fullmemorywe_next_state <= 3'd0;
word_clr <= 1'd0;
word_inc <= 1'd0;
interface0_wb_sdram_ack <= 1'd0;
write_from_slave <= 1'd0;
interface_cyc <= 1'd0;
interface_stb <= 1'd0;
tag_port_we <= 1'd0;
interface_we <= 1'd0;
fullmemorywe_next_state <= fullmemorywe_state;
case (fullmemorywe_state)
1'd1: begin
word_clr <= 1'd1;
if ((tag_do_tag == interface0_wb_sdram_adr[29:11])) begin
interface0_wb_sdram_ack <= 1'd1;
if (interface0_wb_sdram_we) begin
tag_di_dirty <= 1'd1;
tag_port_we <= 1'd1;
end
fullmemorywe_next_state <= 1'd0;
end else begin
if (tag_do_dirty) begin
fullmemorywe_next_state <= 2'd2;
end else begin
fullmemorywe_next_state <= 2'd3;
end
end
end
2'd2: begin
interface_stb <= 1'd1;
interface_cyc <= 1'd1;
interface_we <= 1'd1;
if (interface_ack) begin
word_inc <= 1'd1;
if (1'd1) begin
fullmemorywe_next_state <= 2'd3;
end
end
end
2'd3: begin
tag_port_we <= 1'd1;
word_clr <= 1'd1;
fullmemorywe_next_state <= 3'd4;
end
3'd4: begin
interface_stb <= 1'd1;
interface_cyc <= 1'd1;
interface_we <= 1'd0;
if (interface_ack) begin
write_from_slave <= 1'd1;
word_inc <= 1'd1;
if (1'd1) begin
fullmemorywe_next_state <= 1'd1;
end else begin
fullmemorywe_next_state <= 3'd4;
end
end
end
default: begin
if ((interface0_wb_sdram_cyc & interface0_wb_sdram_stb)) begin
fullmemorywe_next_state <= 1'd1;
end
end
endcase
end
assign port_cmd_payload_addr = interface_adr;
assign port_wdata_payload_we = interface_sel;
assign port_wdata_payload_data = interface_dat_w;
assign interface_dat_r = port_rdata_payload_data;
always @(*) begin
litedramwishbone2native_next_state <= 2'd0;
port_cmd_payload_we <= 1'd0;
port_rdata_ready <= 1'd0;
port_wdata_valid <= 1'd0;
interface_ack <= 1'd0;
port_cmd_valid <= 1'd0;
litedramwishbone2native_next_state <= litedramwishbone2native_state;
case (litedramwishbone2native_state)
1'd1: begin
port_cmd_valid <= 1'd1;
port_cmd_payload_we <= interface_we;
if (port_cmd_ready) begin
if (interface_we) begin
litedramwishbone2native_next_state <= 2'd2;
end else begin
litedramwishbone2native_next_state <= 2'd3;
end
end
end
2'd2: begin
port_wdata_valid <= 1'd1;
if (port_wdata_ready) begin
interface_ack <= 1'd1;
litedramwishbone2native_next_state <= 1'd0;
end
end
2'd3: begin
port_rdata_ready <= 1'd1;
if (port_rdata_valid) begin
interface_ack <= 1'd1;
litedramwishbone2native_next_state <= 1'd0;
end
end
default: begin
if ((interface_cyc & interface_stb)) begin
litedramwishbone2native_next_state <= 1'd1;
end
end
endcase
end
assign interface0_wb_sdram_adr = rhs_array_muxed36;
assign interface0_wb_sdram_dat_w = rhs_array_muxed37;
assign interface0_wb_sdram_sel = rhs_array_muxed38;
assign interface0_wb_sdram_cyc = rhs_array_muxed39;
assign interface0_wb_sdram_stb = rhs_array_muxed40;
assign interface0_wb_sdram_we = rhs_array_muxed41;
assign interface0_wb_sdram_cti = rhs_array_muxed42;
assign interface0_wb_sdram_bte = rhs_array_muxed43;
assign interface1_wb_sdram_dat_r = interface0_wb_sdram_dat_r;
assign interface1_wb_sdram_ack = (interface0_wb_sdram_ack & (wb_sdram_con_grant == 1'd0));
assign interface1_wb_sdram_err = (interface0_wb_sdram_err & (wb_sdram_con_grant == 1'd0));
assign wb_sdram_con_request = {interface1_wb_sdram_cyc};
assign wb_sdram_con_grant = 1'd0;
assign basesoc_shared_adr = rhs_array_muxed44;
assign basesoc_shared_dat_w = rhs_array_muxed45;
assign basesoc_shared_sel = rhs_array_muxed46;
assign basesoc_shared_cyc = rhs_array_muxed47;
assign basesoc_shared_stb = rhs_array_muxed48;
assign basesoc_shared_we = rhs_array_muxed49;
assign basesoc_shared_cti = rhs_array_muxed50;
assign basesoc_shared_bte = rhs_array_muxed51;
assign basesoc_lm32_ibus_dat_r = basesoc_shared_dat_r;
assign basesoc_lm32_dbus_dat_r = basesoc_shared_dat_r;
assign basesoc_lm32_ibus_ack = (basesoc_shared_ack & (basesoc_grant == 1'd0));
assign basesoc_lm32_dbus_ack = (basesoc_shared_ack & (basesoc_grant == 1'd1));
assign basesoc_lm32_ibus_err = (basesoc_shared_err & (basesoc_grant == 1'd0));
assign basesoc_lm32_dbus_err = (basesoc_shared_err & (basesoc_grant == 1'd1));
assign basesoc_request = {basesoc_lm32_dbus_cyc, basesoc_lm32_ibus_cyc};
always @(*) begin
basesoc_slave_sel <= 4'd0;
basesoc_slave_sel[0] <= (basesoc_shared_adr[28:26] == 1'd0);
basesoc_slave_sel[1] <= (basesoc_shared_adr[28:26] == 1'd1);
basesoc_slave_sel[2] <= (basesoc_shared_adr[28:26] == 3'd6);
basesoc_slave_sel[3] <= (basesoc_shared_adr[28:26] == 3'd4);
end
assign basesoc_rom_bus_adr = basesoc_shared_adr;
assign basesoc_rom_bus_dat_w = basesoc_shared_dat_w;
assign basesoc_rom_bus_sel = basesoc_shared_sel;
assign basesoc_rom_bus_stb = basesoc_shared_stb;
assign basesoc_rom_bus_we = basesoc_shared_we;
assign basesoc_rom_bus_cti = basesoc_shared_cti;
assign basesoc_rom_bus_bte = basesoc_shared_bte;
assign basesoc_sram_bus_adr = basesoc_shared_adr;
assign basesoc_sram_bus_dat_w = basesoc_shared_dat_w;
assign basesoc_sram_bus_sel = basesoc_shared_sel;
assign basesoc_sram_bus_stb = basesoc_shared_stb;
assign basesoc_sram_bus_we = basesoc_shared_we;
assign basesoc_sram_bus_cti = basesoc_shared_cti;
assign basesoc_sram_bus_bte = basesoc_shared_bte;
assign basesoc_bus_wishbone_adr = basesoc_shared_adr;
assign basesoc_bus_wishbone_dat_w = basesoc_shared_dat_w;
assign basesoc_bus_wishbone_sel = basesoc_shared_sel;
assign basesoc_bus_wishbone_stb = basesoc_shared_stb;
assign basesoc_bus_wishbone_we = basesoc_shared_we;
assign basesoc_bus_wishbone_cti = basesoc_shared_cti;
assign basesoc_bus_wishbone_bte = basesoc_shared_bte;
assign interface1_wb_sdram_adr = basesoc_shared_adr;
assign interface1_wb_sdram_dat_w = basesoc_shared_dat_w;
assign interface1_wb_sdram_sel = basesoc_shared_sel;
assign interface1_wb_sdram_stb = basesoc_shared_stb;
assign interface1_wb_sdram_we = basesoc_shared_we;
assign interface1_wb_sdram_cti = basesoc_shared_cti;
assign interface1_wb_sdram_bte = basesoc_shared_bte;
assign basesoc_rom_bus_cyc = (basesoc_shared_cyc & basesoc_slave_sel[0]);
assign basesoc_sram_bus_cyc = (basesoc_shared_cyc & basesoc_slave_sel[1]);
assign basesoc_bus_wishbone_cyc = (basesoc_shared_cyc & basesoc_slave_sel[2]);
assign interface1_wb_sdram_cyc = (basesoc_shared_cyc & basesoc_slave_sel[3]);
assign basesoc_shared_err = (((basesoc_rom_bus_err | basesoc_sram_bus_err) | basesoc_bus_wishbone_err) | interface1_wb_sdram_err);
assign basesoc_wait = ((basesoc_shared_stb & basesoc_shared_cyc) & (~basesoc_shared_ack));
always @(*) begin
basesoc_error <= 1'd0;
basesoc_shared_dat_r <= 32'd0;
basesoc_shared_ack <= 1'd0;
basesoc_shared_ack <= (((basesoc_rom_bus_ack | basesoc_sram_bus_ack) | basesoc_bus_wishbone_ack) | interface1_wb_sdram_ack);
basesoc_shared_dat_r <= (((({32{basesoc_slave_sel_r[0]}} & basesoc_rom_bus_dat_r) | ({32{basesoc_slave_sel_r[1]}} & basesoc_sram_bus_dat_r)) | ({32{basesoc_slave_sel_r[2]}} & basesoc_bus_wishbone_dat_r)) | ({32{basesoc_slave_sel_r[3]}} & interface1_wb_sdram_dat_r));
if (basesoc_done) begin
basesoc_shared_dat_r <= 32'd4294967295;
basesoc_shared_ack <= 1'd1;
basesoc_error <= 1'd1;
end
end
assign basesoc_done = (basesoc_count == 1'd0);
assign basesoc_csrbank0_sel = (basesoc_interface0_bank_bus_adr[13:9] == 1'd0);
assign basesoc_ctrl_reset_reset_r = basesoc_interface0_bank_bus_dat_w[0];
assign basesoc_ctrl_reset_reset_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 1'd0));
assign basesoc_csrbank0_scratch3_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_scratch3_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 1'd1));
assign basesoc_csrbank0_scratch2_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_scratch2_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 2'd2));
assign basesoc_csrbank0_scratch1_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_scratch1_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 2'd3));
assign basesoc_csrbank0_scratch0_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_scratch0_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 3'd4));
assign basesoc_csrbank0_bus_errors3_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_bus_errors3_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 3'd5));
assign basesoc_csrbank0_bus_errors2_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_bus_errors2_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 3'd6));
assign basesoc_csrbank0_bus_errors1_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_bus_errors1_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 3'd7));
assign basesoc_csrbank0_bus_errors0_r = basesoc_interface0_bank_bus_dat_w[7:0];
assign basesoc_csrbank0_bus_errors0_re = ((basesoc_csrbank0_sel & basesoc_interface0_bank_bus_we) & (basesoc_interface0_bank_bus_adr[3:0] == 4'd8));
assign basesoc_ctrl_storage = basesoc_ctrl_storage_full[31:0];
assign basesoc_csrbank0_scratch3_w = basesoc_ctrl_storage_full[31:24];
assign basesoc_csrbank0_scratch2_w = basesoc_ctrl_storage_full[23:16];
assign basesoc_csrbank0_scratch1_w = basesoc_ctrl_storage_full[15:8];
assign basesoc_csrbank0_scratch0_w = basesoc_ctrl_storage_full[7:0];
assign basesoc_csrbank0_bus_errors3_w = basesoc_ctrl_bus_errors_status[31:24];
assign basesoc_csrbank0_bus_errors2_w = basesoc_ctrl_bus_errors_status[23:16];
assign basesoc_csrbank0_bus_errors1_w = basesoc_ctrl_bus_errors_status[15:8];
assign basesoc_csrbank0_bus_errors0_w = basesoc_ctrl_bus_errors_status[7:0];
assign basesoc_csrbank1_sel = (basesoc_interface1_bank_bus_adr[13:9] == 5'd16);
assign basesoc_csrbank1_half_sys8x_taps0_r = basesoc_interface1_bank_bus_dat_w[3:0];
assign basesoc_csrbank1_half_sys8x_taps0_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 1'd0));
assign basesoc_csrbank1_dly_sel0_r = basesoc_interface1_bank_bus_dat_w[1:0];
assign basesoc_csrbank1_dly_sel0_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 1'd1));
assign a7ddrphy_rdly_dq_rst_r = basesoc_interface1_bank_bus_dat_w[0];
assign a7ddrphy_rdly_dq_rst_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 2'd2));
assign a7ddrphy_rdly_dq_inc_r = basesoc_interface1_bank_bus_dat_w[0];
assign a7ddrphy_rdly_dq_inc_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 2'd3));
assign a7ddrphy_rdly_dq_bitslip_rst_r = basesoc_interface1_bank_bus_dat_w[0];
assign a7ddrphy_rdly_dq_bitslip_rst_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 3'd4));
assign a7ddrphy_rdly_dq_bitslip_r = basesoc_interface1_bank_bus_dat_w[0];
assign a7ddrphy_rdly_dq_bitslip_re = ((basesoc_csrbank1_sel & basesoc_interface1_bank_bus_we) & (basesoc_interface1_bank_bus_adr[2:0] == 3'd5));
assign a7ddrphy_half_sys8x_taps_storage = a7ddrphy_half_sys8x_taps_storage_full[3:0];
assign basesoc_csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage_full[3:0];
assign a7ddrphy_dly_sel_storage = a7ddrphy_dly_sel_storage_full[1:0];
assign basesoc_csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage_full[1:0];
assign basesoc_csrbank2_sel = (basesoc_interface2_bank_bus_adr[13:9] == 4'd8);
assign basesoc_csrbank2_dfii_control0_r = basesoc_interface2_bank_bus_dat_w[3:0];
assign basesoc_csrbank2_dfii_control0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 1'd0));
assign basesoc_csrbank2_dfii_pi0_command0_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi0_command0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 1'd1));
assign sdram_phaseinjector0_command_issue_r = basesoc_interface2_bank_bus_dat_w[0];
assign sdram_phaseinjector0_command_issue_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 2'd2));
assign basesoc_csrbank2_dfii_pi0_address1_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi0_address1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 2'd3));
assign basesoc_csrbank2_dfii_pi0_address0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_address0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 3'd4));
assign basesoc_csrbank2_dfii_pi0_baddress0_r = basesoc_interface2_bank_bus_dat_w[2:0];
assign basesoc_csrbank2_dfii_pi0_baddress0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 3'd5));
assign basesoc_csrbank2_dfii_pi0_wrdata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_wrdata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 3'd6));
assign basesoc_csrbank2_dfii_pi0_wrdata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_wrdata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 3'd7));
assign basesoc_csrbank2_dfii_pi0_wrdata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_wrdata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd8));
assign basesoc_csrbank2_dfii_pi0_wrdata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_wrdata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd9));
assign basesoc_csrbank2_dfii_pi0_rddata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd10));
assign basesoc_csrbank2_dfii_pi0_rddata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd11));
assign basesoc_csrbank2_dfii_pi0_rddata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd12));
assign basesoc_csrbank2_dfii_pi0_rddata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd13));
assign basesoc_csrbank2_dfii_pi1_command0_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi1_command0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd14));
assign sdram_phaseinjector1_command_issue_r = basesoc_interface2_bank_bus_dat_w[0];
assign sdram_phaseinjector1_command_issue_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 4'd15));
assign basesoc_csrbank2_dfii_pi1_address1_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi1_address1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd16));
assign basesoc_csrbank2_dfii_pi1_address0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_address0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd17));
assign basesoc_csrbank2_dfii_pi1_baddress0_r = basesoc_interface2_bank_bus_dat_w[2:0];
assign basesoc_csrbank2_dfii_pi1_baddress0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd18));
assign basesoc_csrbank2_dfii_pi1_wrdata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_wrdata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd19));
assign basesoc_csrbank2_dfii_pi1_wrdata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_wrdata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd20));
assign basesoc_csrbank2_dfii_pi1_wrdata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_wrdata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd21));
assign basesoc_csrbank2_dfii_pi1_wrdata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_wrdata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd22));
assign basesoc_csrbank2_dfii_pi1_rddata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd23));
assign basesoc_csrbank2_dfii_pi1_rddata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd24));
assign basesoc_csrbank2_dfii_pi1_rddata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd25));
assign basesoc_csrbank2_dfii_pi1_rddata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd26));
assign basesoc_csrbank2_dfii_pi2_command0_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi2_command0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd27));
assign sdram_phaseinjector2_command_issue_r = basesoc_interface2_bank_bus_dat_w[0];
assign sdram_phaseinjector2_command_issue_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd28));
assign basesoc_csrbank2_dfii_pi2_address1_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi2_address1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd29));
assign basesoc_csrbank2_dfii_pi2_address0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_address0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd30));
assign basesoc_csrbank2_dfii_pi2_baddress0_r = basesoc_interface2_bank_bus_dat_w[2:0];
assign basesoc_csrbank2_dfii_pi2_baddress0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 5'd31));
assign basesoc_csrbank2_dfii_pi2_wrdata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_wrdata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd32));
assign basesoc_csrbank2_dfii_pi2_wrdata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_wrdata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd33));
assign basesoc_csrbank2_dfii_pi2_wrdata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_wrdata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd34));
assign basesoc_csrbank2_dfii_pi2_wrdata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_wrdata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd35));
assign basesoc_csrbank2_dfii_pi2_rddata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd36));
assign basesoc_csrbank2_dfii_pi2_rddata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd37));
assign basesoc_csrbank2_dfii_pi2_rddata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd38));
assign basesoc_csrbank2_dfii_pi2_rddata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd39));
assign basesoc_csrbank2_dfii_pi3_command0_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi3_command0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd40));
assign sdram_phaseinjector3_command_issue_r = basesoc_interface2_bank_bus_dat_w[0];
assign sdram_phaseinjector3_command_issue_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd41));
assign basesoc_csrbank2_dfii_pi3_address1_r = basesoc_interface2_bank_bus_dat_w[5:0];
assign basesoc_csrbank2_dfii_pi3_address1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd42));
assign basesoc_csrbank2_dfii_pi3_address0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_address0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd43));
assign basesoc_csrbank2_dfii_pi3_baddress0_r = basesoc_interface2_bank_bus_dat_w[2:0];
assign basesoc_csrbank2_dfii_pi3_baddress0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd44));
assign basesoc_csrbank2_dfii_pi3_wrdata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_wrdata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd45));
assign basesoc_csrbank2_dfii_pi3_wrdata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_wrdata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd46));
assign basesoc_csrbank2_dfii_pi3_wrdata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_wrdata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd47));
assign basesoc_csrbank2_dfii_pi3_wrdata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_wrdata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd48));
assign basesoc_csrbank2_dfii_pi3_rddata3_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata3_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd49));
assign basesoc_csrbank2_dfii_pi3_rddata2_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata2_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd50));
assign basesoc_csrbank2_dfii_pi3_rddata1_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata1_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd51));
assign basesoc_csrbank2_dfii_pi3_rddata0_r = basesoc_interface2_bank_bus_dat_w[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata0_re = ((basesoc_csrbank2_sel & basesoc_interface2_bank_bus_we) & (basesoc_interface2_bank_bus_adr[5:0] == 6'd52));
assign sdram_storage = sdram_storage_full[3:0];
assign basesoc_csrbank2_dfii_control0_w = sdram_storage_full[3:0];
assign sdram_phaseinjector0_command_storage = sdram_phaseinjector0_command_storage_full[5:0];
assign basesoc_csrbank2_dfii_pi0_command0_w = sdram_phaseinjector0_command_storage_full[5:0];
assign sdram_phaseinjector0_address_storage = sdram_phaseinjector0_address_storage_full[13:0];
assign basesoc_csrbank2_dfii_pi0_address1_w = sdram_phaseinjector0_address_storage_full[13:8];
assign basesoc_csrbank2_dfii_pi0_address0_w = sdram_phaseinjector0_address_storage_full[7:0];
assign sdram_phaseinjector0_baddress_storage = sdram_phaseinjector0_baddress_storage_full[2:0];
assign basesoc_csrbank2_dfii_pi0_baddress0_w = sdram_phaseinjector0_baddress_storage_full[2:0];
assign sdram_phaseinjector0_wrdata_storage = sdram_phaseinjector0_wrdata_storage_full[31:0];
assign basesoc_csrbank2_dfii_pi0_wrdata3_w = sdram_phaseinjector0_wrdata_storage_full[31:24];
assign basesoc_csrbank2_dfii_pi0_wrdata2_w = sdram_phaseinjector0_wrdata_storage_full[23:16];
assign basesoc_csrbank2_dfii_pi0_wrdata1_w = sdram_phaseinjector0_wrdata_storage_full[15:8];
assign basesoc_csrbank2_dfii_pi0_wrdata0_w = sdram_phaseinjector0_wrdata_storage_full[7:0];
assign basesoc_csrbank2_dfii_pi0_rddata3_w = sdram_phaseinjector0_status[31:24];
assign basesoc_csrbank2_dfii_pi0_rddata2_w = sdram_phaseinjector0_status[23:16];
assign basesoc_csrbank2_dfii_pi0_rddata1_w = sdram_phaseinjector0_status[15:8];
assign basesoc_csrbank2_dfii_pi0_rddata0_w = sdram_phaseinjector0_status[7:0];
assign sdram_phaseinjector1_command_storage = sdram_phaseinjector1_command_storage_full[5:0];
assign basesoc_csrbank2_dfii_pi1_command0_w = sdram_phaseinjector1_command_storage_full[5:0];
assign sdram_phaseinjector1_address_storage = sdram_phaseinjector1_address_storage_full[13:0];
assign basesoc_csrbank2_dfii_pi1_address1_w = sdram_phaseinjector1_address_storage_full[13:8];
assign basesoc_csrbank2_dfii_pi1_address0_w = sdram_phaseinjector1_address_storage_full[7:0];
assign sdram_phaseinjector1_baddress_storage = sdram_phaseinjector1_baddress_storage_full[2:0];
assign basesoc_csrbank2_dfii_pi1_baddress0_w = sdram_phaseinjector1_baddress_storage_full[2:0];
assign sdram_phaseinjector1_wrdata_storage = sdram_phaseinjector1_wrdata_storage_full[31:0];
assign basesoc_csrbank2_dfii_pi1_wrdata3_w = sdram_phaseinjector1_wrdata_storage_full[31:24];
assign basesoc_csrbank2_dfii_pi1_wrdata2_w = sdram_phaseinjector1_wrdata_storage_full[23:16];
assign basesoc_csrbank2_dfii_pi1_wrdata1_w = sdram_phaseinjector1_wrdata_storage_full[15:8];
assign basesoc_csrbank2_dfii_pi1_wrdata0_w = sdram_phaseinjector1_wrdata_storage_full[7:0];
assign basesoc_csrbank2_dfii_pi1_rddata3_w = sdram_phaseinjector1_status[31:24];
assign basesoc_csrbank2_dfii_pi1_rddata2_w = sdram_phaseinjector1_status[23:16];
assign basesoc_csrbank2_dfii_pi1_rddata1_w = sdram_phaseinjector1_status[15:8];
assign basesoc_csrbank2_dfii_pi1_rddata0_w = sdram_phaseinjector1_status[7:0];
assign sdram_phaseinjector2_command_storage = sdram_phaseinjector2_command_storage_full[5:0];
assign basesoc_csrbank2_dfii_pi2_command0_w = sdram_phaseinjector2_command_storage_full[5:0];
assign sdram_phaseinjector2_address_storage = sdram_phaseinjector2_address_storage_full[13:0];
assign basesoc_csrbank2_dfii_pi2_address1_w = sdram_phaseinjector2_address_storage_full[13:8];
assign basesoc_csrbank2_dfii_pi2_address0_w = sdram_phaseinjector2_address_storage_full[7:0];
assign sdram_phaseinjector2_baddress_storage = sdram_phaseinjector2_baddress_storage_full[2:0];
assign basesoc_csrbank2_dfii_pi2_baddress0_w = sdram_phaseinjector2_baddress_storage_full[2:0];
assign sdram_phaseinjector2_wrdata_storage = sdram_phaseinjector2_wrdata_storage_full[31:0];
assign basesoc_csrbank2_dfii_pi2_wrdata3_w = sdram_phaseinjector2_wrdata_storage_full[31:24];
assign basesoc_csrbank2_dfii_pi2_wrdata2_w = sdram_phaseinjector2_wrdata_storage_full[23:16];
assign basesoc_csrbank2_dfii_pi2_wrdata1_w = sdram_phaseinjector2_wrdata_storage_full[15:8];
assign basesoc_csrbank2_dfii_pi2_wrdata0_w = sdram_phaseinjector2_wrdata_storage_full[7:0];
assign basesoc_csrbank2_dfii_pi2_rddata3_w = sdram_phaseinjector2_status[31:24];
assign basesoc_csrbank2_dfii_pi2_rddata2_w = sdram_phaseinjector2_status[23:16];
assign basesoc_csrbank2_dfii_pi2_rddata1_w = sdram_phaseinjector2_status[15:8];
assign basesoc_csrbank2_dfii_pi2_rddata0_w = sdram_phaseinjector2_status[7:0];
assign sdram_phaseinjector3_command_storage = sdram_phaseinjector3_command_storage_full[5:0];
assign basesoc_csrbank2_dfii_pi3_command0_w = sdram_phaseinjector3_command_storage_full[5:0];
assign sdram_phaseinjector3_address_storage = sdram_phaseinjector3_address_storage_full[13:0];
assign basesoc_csrbank2_dfii_pi3_address1_w = sdram_phaseinjector3_address_storage_full[13:8];
assign basesoc_csrbank2_dfii_pi3_address0_w = sdram_phaseinjector3_address_storage_full[7:0];
assign sdram_phaseinjector3_baddress_storage = sdram_phaseinjector3_baddress_storage_full[2:0];
assign basesoc_csrbank2_dfii_pi3_baddress0_w = sdram_phaseinjector3_baddress_storage_full[2:0];
assign sdram_phaseinjector3_wrdata_storage = sdram_phaseinjector3_wrdata_storage_full[31:0];
assign basesoc_csrbank2_dfii_pi3_wrdata3_w = sdram_phaseinjector3_wrdata_storage_full[31:24];
assign basesoc_csrbank2_dfii_pi3_wrdata2_w = sdram_phaseinjector3_wrdata_storage_full[23:16];
assign basesoc_csrbank2_dfii_pi3_wrdata1_w = sdram_phaseinjector3_wrdata_storage_full[15:8];
assign basesoc_csrbank2_dfii_pi3_wrdata0_w = sdram_phaseinjector3_wrdata_storage_full[7:0];
assign basesoc_csrbank2_dfii_pi3_rddata3_w = sdram_phaseinjector3_status[31:24];
assign basesoc_csrbank2_dfii_pi3_rddata2_w = sdram_phaseinjector3_status[23:16];
assign basesoc_csrbank2_dfii_pi3_rddata1_w = sdram_phaseinjector3_status[15:8];
assign basesoc_csrbank2_dfii_pi3_rddata0_w = sdram_phaseinjector3_status[7:0];
assign basesoc_csrbank3_sel = (basesoc_interface3_bank_bus_adr[13:9] == 3'd5);
assign basesoc_csrbank3_load3_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_load3_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 1'd0));
assign basesoc_csrbank3_load2_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_load2_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 1'd1));
assign basesoc_csrbank3_load1_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_load1_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 2'd2));
assign basesoc_csrbank3_load0_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_load0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 2'd3));
assign basesoc_csrbank3_reload3_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_reload3_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 3'd4));
assign basesoc_csrbank3_reload2_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_reload2_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 3'd5));
assign basesoc_csrbank3_reload1_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_reload1_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 3'd6));
assign basesoc_csrbank3_reload0_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_reload0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 3'd7));
assign basesoc_csrbank3_en0_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_csrbank3_en0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd8));
assign basesoc_timer0_update_value_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_timer0_update_value_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd9));
assign basesoc_csrbank3_value3_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_value3_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd10));
assign basesoc_csrbank3_value2_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_value2_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd11));
assign basesoc_csrbank3_value1_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_value1_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd12));
assign basesoc_csrbank3_value0_r = basesoc_interface3_bank_bus_dat_w[7:0];
assign basesoc_csrbank3_value0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd13));
assign basesoc_timer0_eventmanager_status_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_timer0_eventmanager_status_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd14));
assign basesoc_timer0_eventmanager_pending_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_timer0_eventmanager_pending_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 4'd15));
assign basesoc_csrbank3_ev_enable0_r = basesoc_interface3_bank_bus_dat_w[0];
assign basesoc_csrbank3_ev_enable0_re = ((basesoc_csrbank3_sel & basesoc_interface3_bank_bus_we) & (basesoc_interface3_bank_bus_adr[4:0] == 5'd16));
assign basesoc_timer0_load_storage = basesoc_timer0_load_storage_full[31:0];
assign basesoc_csrbank3_load3_w = basesoc_timer0_load_storage_full[31:24];
assign basesoc_csrbank3_load2_w = basesoc_timer0_load_storage_full[23:16];
assign basesoc_csrbank3_load1_w = basesoc_timer0_load_storage_full[15:8];
assign basesoc_csrbank3_load0_w = basesoc_timer0_load_storage_full[7:0];
assign basesoc_timer0_reload_storage = basesoc_timer0_reload_storage_full[31:0];
assign basesoc_csrbank3_reload3_w = basesoc_timer0_reload_storage_full[31:24];
assign basesoc_csrbank3_reload2_w = basesoc_timer0_reload_storage_full[23:16];
assign basesoc_csrbank3_reload1_w = basesoc_timer0_reload_storage_full[15:8];
assign basesoc_csrbank3_reload0_w = basesoc_timer0_reload_storage_full[7:0];
assign basesoc_timer0_en_storage = basesoc_timer0_en_storage_full;
assign basesoc_csrbank3_en0_w = basesoc_timer0_en_storage_full;
assign basesoc_csrbank3_value3_w = basesoc_timer0_value_status[31:24];
assign basesoc_csrbank3_value2_w = basesoc_timer0_value_status[23:16];
assign basesoc_csrbank3_value1_w = basesoc_timer0_value_status[15:8];
assign basesoc_csrbank3_value0_w = basesoc_timer0_value_status[7:0];
assign basesoc_timer0_eventmanager_storage = basesoc_timer0_eventmanager_storage_full;
assign basesoc_csrbank3_ev_enable0_w = basesoc_timer0_eventmanager_storage_full;
assign basesoc_csrbank4_sel = (basesoc_interface4_bank_bus_adr[13:9] == 2'd3);
assign basesoc_uart_rxtx_r = basesoc_interface4_bank_bus_dat_w[7:0];
assign basesoc_uart_rxtx_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 1'd0));
assign basesoc_csrbank4_txfull_r = basesoc_interface4_bank_bus_dat_w[0];
assign basesoc_csrbank4_txfull_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 1'd1));
assign basesoc_csrbank4_rxempty_r = basesoc_interface4_bank_bus_dat_w[0];
assign basesoc_csrbank4_rxempty_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 2'd2));
assign basesoc_uart_status_r = basesoc_interface4_bank_bus_dat_w[1:0];
assign basesoc_uart_status_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 2'd3));
assign basesoc_uart_pending_r = basesoc_interface4_bank_bus_dat_w[1:0];
assign basesoc_uart_pending_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 3'd4));
assign basesoc_csrbank4_ev_enable0_r = basesoc_interface4_bank_bus_dat_w[1:0];
assign basesoc_csrbank4_ev_enable0_re = ((basesoc_csrbank4_sel & basesoc_interface4_bank_bus_we) & (basesoc_interface4_bank_bus_adr[2:0] == 3'd5));
assign basesoc_csrbank4_txfull_w = basesoc_uart_txfull_status;
assign basesoc_csrbank4_rxempty_w = basesoc_uart_rxempty_status;
assign basesoc_uart_storage = basesoc_uart_storage_full[1:0];
assign basesoc_csrbank4_ev_enable0_w = basesoc_uart_storage_full[1:0];
assign basesoc_csrbank5_sel = (basesoc_interface5_bank_bus_adr[13:9] == 2'd2);
assign basesoc_csrbank5_tuning_word3_r = basesoc_interface5_bank_bus_dat_w[7:0];
assign basesoc_csrbank5_tuning_word3_re = ((basesoc_csrbank5_sel & basesoc_interface5_bank_bus_we) & (basesoc_interface5_bank_bus_adr[1:0] == 1'd0));
assign basesoc_csrbank5_tuning_word2_r = basesoc_interface5_bank_bus_dat_w[7:0];
assign basesoc_csrbank5_tuning_word2_re = ((basesoc_csrbank5_sel & basesoc_interface5_bank_bus_we) & (basesoc_interface5_bank_bus_adr[1:0] == 1'd1));
assign basesoc_csrbank5_tuning_word1_r = basesoc_interface5_bank_bus_dat_w[7:0];
assign basesoc_csrbank5_tuning_word1_re = ((basesoc_csrbank5_sel & basesoc_interface5_bank_bus_we) & (basesoc_interface5_bank_bus_adr[1:0] == 2'd2));
assign basesoc_csrbank5_tuning_word0_r = basesoc_interface5_bank_bus_dat_w[7:0];
assign basesoc_csrbank5_tuning_word0_re = ((basesoc_csrbank5_sel & basesoc_interface5_bank_bus_we) & (basesoc_interface5_bank_bus_adr[1:0] == 2'd3));
assign basesoc_uart_phy_storage = basesoc_uart_phy_storage_full[31:0];
assign basesoc_csrbank5_tuning_word3_w = basesoc_uart_phy_storage_full[31:24];
assign basesoc_csrbank5_tuning_word2_w = basesoc_uart_phy_storage_full[23:16];
assign basesoc_csrbank5_tuning_word1_w = basesoc_uart_phy_storage_full[15:8];
assign basesoc_csrbank5_tuning_word0_w = basesoc_uart_phy_storage_full[7:0];
assign basesoc_interface0_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface1_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface2_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface3_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface4_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface5_bank_bus_adr = basesoc_interface_adr;
assign basesoc_interface0_bank_bus_we = basesoc_interface_we;
assign basesoc_interface1_bank_bus_we = basesoc_interface_we;
assign basesoc_interface2_bank_bus_we = basesoc_interface_we;
assign basesoc_interface3_bank_bus_we = basesoc_interface_we;
assign basesoc_interface4_bank_bus_we = basesoc_interface_we;
assign basesoc_interface5_bank_bus_we = basesoc_interface_we;
assign basesoc_interface0_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface1_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface2_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface3_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface4_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface5_bank_bus_dat_w = basesoc_interface_dat_w;
assign basesoc_interface_dat_r = (((((basesoc_interface0_bank_bus_dat_r | basesoc_interface1_bank_bus_dat_r) | basesoc_interface2_bank_bus_dat_r) | basesoc_interface3_bank_bus_dat_r) | basesoc_interface4_bank_bus_dat_r) | basesoc_interface5_bank_bus_dat_r);
always @(*) begin
rhs_array_muxed0 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[0];
end
1'd1: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[1];
end
2'd2: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[2];
end
2'd3: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[3];
end
3'd4: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[4];
end
3'd5: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[5];
end
3'd6: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[6];
end
default: begin
rhs_array_muxed0 <= sdram_choose_cmd_valids[7];
end
endcase
end
always @(*) begin
rhs_array_muxed1 <= 14'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed1 <= sdram_bankmachine0_cmd_payload_a;
end
1'd1: begin
rhs_array_muxed1 <= sdram_bankmachine1_cmd_payload_a;
end
2'd2: begin
rhs_array_muxed1 <= sdram_bankmachine2_cmd_payload_a;
end
2'd3: begin
rhs_array_muxed1 <= sdram_bankmachine3_cmd_payload_a;
end
3'd4: begin
rhs_array_muxed1 <= sdram_bankmachine4_cmd_payload_a;
end
3'd5: begin
rhs_array_muxed1 <= sdram_bankmachine5_cmd_payload_a;
end
3'd6: begin
rhs_array_muxed1 <= sdram_bankmachine6_cmd_payload_a;
end
default: begin
rhs_array_muxed1 <= sdram_bankmachine7_cmd_payload_a;
end
endcase
end
always @(*) begin
rhs_array_muxed2 <= 3'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed2 <= sdram_bankmachine0_cmd_payload_ba;
end
1'd1: begin
rhs_array_muxed2 <= sdram_bankmachine1_cmd_payload_ba;
end
2'd2: begin
rhs_array_muxed2 <= sdram_bankmachine2_cmd_payload_ba;
end
2'd3: begin
rhs_array_muxed2 <= sdram_bankmachine3_cmd_payload_ba;
end
3'd4: begin
rhs_array_muxed2 <= sdram_bankmachine4_cmd_payload_ba;
end
3'd5: begin
rhs_array_muxed2 <= sdram_bankmachine5_cmd_payload_ba;
end
3'd6: begin
rhs_array_muxed2 <= sdram_bankmachine6_cmd_payload_ba;
end
default: begin
rhs_array_muxed2 <= sdram_bankmachine7_cmd_payload_ba;
end
endcase
end
always @(*) begin
rhs_array_muxed3 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed3 <= sdram_bankmachine0_cmd_payload_is_read;
end
1'd1: begin
rhs_array_muxed3 <= sdram_bankmachine1_cmd_payload_is_read;
end
2'd2: begin
rhs_array_muxed3 <= sdram_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
rhs_array_muxed3 <= sdram_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
rhs_array_muxed3 <= sdram_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
rhs_array_muxed3 <= sdram_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
rhs_array_muxed3 <= sdram_bankmachine6_cmd_payload_is_read;
end
default: begin
rhs_array_muxed3 <= sdram_bankmachine7_cmd_payload_is_read;
end
endcase
end
always @(*) begin
rhs_array_muxed4 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed4 <= sdram_bankmachine0_cmd_payload_is_write;
end
1'd1: begin
rhs_array_muxed4 <= sdram_bankmachine1_cmd_payload_is_write;
end
2'd2: begin
rhs_array_muxed4 <= sdram_bankmachine2_cmd_payload_is_write;
end
2'd3: begin
rhs_array_muxed4 <= sdram_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
rhs_array_muxed4 <= sdram_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
rhs_array_muxed4 <= sdram_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
rhs_array_muxed4 <= sdram_bankmachine6_cmd_payload_is_write;
end
default: begin
rhs_array_muxed4 <= sdram_bankmachine7_cmd_payload_is_write;
end
endcase
end
always @(*) begin
rhs_array_muxed5 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
rhs_array_muxed5 <= sdram_bankmachine0_cmd_payload_is_cmd;
end
1'd1: begin
rhs_array_muxed5 <= sdram_bankmachine1_cmd_payload_is_cmd;
end
2'd2: begin
rhs_array_muxed5 <= sdram_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
rhs_array_muxed5 <= sdram_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
rhs_array_muxed5 <= sdram_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
rhs_array_muxed5 <= sdram_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
rhs_array_muxed5 <= sdram_bankmachine6_cmd_payload_is_cmd;
end
default: begin
rhs_array_muxed5 <= sdram_bankmachine7_cmd_payload_is_cmd;
end
endcase
end
always @(*) begin
t_array_muxed0 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
t_array_muxed0 <= sdram_bankmachine0_cmd_payload_cas;
end
1'd1: begin
t_array_muxed0 <= sdram_bankmachine1_cmd_payload_cas;
end
2'd2: begin
t_array_muxed0 <= sdram_bankmachine2_cmd_payload_cas;
end
2'd3: begin
t_array_muxed0 <= sdram_bankmachine3_cmd_payload_cas;
end
3'd4: begin
t_array_muxed0 <= sdram_bankmachine4_cmd_payload_cas;
end
3'd5: begin
t_array_muxed0 <= sdram_bankmachine5_cmd_payload_cas;
end
3'd6: begin
t_array_muxed0 <= sdram_bankmachine6_cmd_payload_cas;
end
default: begin
t_array_muxed0 <= sdram_bankmachine7_cmd_payload_cas;
end
endcase
end
always @(*) begin
t_array_muxed1 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
t_array_muxed1 <= sdram_bankmachine0_cmd_payload_ras;
end
1'd1: begin
t_array_muxed1 <= sdram_bankmachine1_cmd_payload_ras;
end
2'd2: begin
t_array_muxed1 <= sdram_bankmachine2_cmd_payload_ras;
end
2'd3: begin
t_array_muxed1 <= sdram_bankmachine3_cmd_payload_ras;
end
3'd4: begin
t_array_muxed1 <= sdram_bankmachine4_cmd_payload_ras;
end
3'd5: begin
t_array_muxed1 <= sdram_bankmachine5_cmd_payload_ras;
end
3'd6: begin
t_array_muxed1 <= sdram_bankmachine6_cmd_payload_ras;
end
default: begin
t_array_muxed1 <= sdram_bankmachine7_cmd_payload_ras;
end
endcase
end
always @(*) begin
t_array_muxed2 <= 1'd0;
case (sdram_choose_cmd_grant)
1'd0: begin
t_array_muxed2 <= sdram_bankmachine0_cmd_payload_we;
end
1'd1: begin
t_array_muxed2 <= sdram_bankmachine1_cmd_payload_we;
end
2'd2: begin
t_array_muxed2 <= sdram_bankmachine2_cmd_payload_we;
end
2'd3: begin
t_array_muxed2 <= sdram_bankmachine3_cmd_payload_we;
end
3'd4: begin
t_array_muxed2 <= sdram_bankmachine4_cmd_payload_we;
end
3'd5: begin
t_array_muxed2 <= sdram_bankmachine5_cmd_payload_we;
end
3'd6: begin
t_array_muxed2 <= sdram_bankmachine6_cmd_payload_we;
end
default: begin
t_array_muxed2 <= sdram_bankmachine7_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed6 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed6 <= sdram_choose_req_valids[0];
end
1'd1: begin
rhs_array_muxed6 <= sdram_choose_req_valids[1];
end
2'd2: begin
rhs_array_muxed6 <= sdram_choose_req_valids[2];
end
2'd3: begin
rhs_array_muxed6 <= sdram_choose_req_valids[3];
end
3'd4: begin
rhs_array_muxed6 <= sdram_choose_req_valids[4];
end
3'd5: begin
rhs_array_muxed6 <= sdram_choose_req_valids[5];
end
3'd6: begin
rhs_array_muxed6 <= sdram_choose_req_valids[6];
end
default: begin
rhs_array_muxed6 <= sdram_choose_req_valids[7];
end
endcase
end
always @(*) begin
rhs_array_muxed7 <= 14'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed7 <= sdram_bankmachine0_cmd_payload_a;
end
1'd1: begin
rhs_array_muxed7 <= sdram_bankmachine1_cmd_payload_a;
end
2'd2: begin
rhs_array_muxed7 <= sdram_bankmachine2_cmd_payload_a;
end
2'd3: begin
rhs_array_muxed7 <= sdram_bankmachine3_cmd_payload_a;
end
3'd4: begin
rhs_array_muxed7 <= sdram_bankmachine4_cmd_payload_a;
end
3'd5: begin
rhs_array_muxed7 <= sdram_bankmachine5_cmd_payload_a;
end
3'd6: begin
rhs_array_muxed7 <= sdram_bankmachine6_cmd_payload_a;
end
default: begin
rhs_array_muxed7 <= sdram_bankmachine7_cmd_payload_a;
end
endcase
end
always @(*) begin
rhs_array_muxed8 <= 3'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed8 <= sdram_bankmachine0_cmd_payload_ba;
end
1'd1: begin
rhs_array_muxed8 <= sdram_bankmachine1_cmd_payload_ba;
end
2'd2: begin
rhs_array_muxed8 <= sdram_bankmachine2_cmd_payload_ba;
end
2'd3: begin
rhs_array_muxed8 <= sdram_bankmachine3_cmd_payload_ba;
end
3'd4: begin
rhs_array_muxed8 <= sdram_bankmachine4_cmd_payload_ba;
end
3'd5: begin
rhs_array_muxed8 <= sdram_bankmachine5_cmd_payload_ba;
end
3'd6: begin
rhs_array_muxed8 <= sdram_bankmachine6_cmd_payload_ba;
end
default: begin
rhs_array_muxed8 <= sdram_bankmachine7_cmd_payload_ba;
end
endcase
end
always @(*) begin
rhs_array_muxed9 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed9 <= sdram_bankmachine0_cmd_payload_is_read;
end
1'd1: begin
rhs_array_muxed9 <= sdram_bankmachine1_cmd_payload_is_read;
end
2'd2: begin
rhs_array_muxed9 <= sdram_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
rhs_array_muxed9 <= sdram_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
rhs_array_muxed9 <= sdram_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
rhs_array_muxed9 <= sdram_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
rhs_array_muxed9 <= sdram_bankmachine6_cmd_payload_is_read;
end
default: begin
rhs_array_muxed9 <= sdram_bankmachine7_cmd_payload_is_read;
end
endcase
end
always @(*) begin
rhs_array_muxed10 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed10 <= sdram_bankmachine0_cmd_payload_is_write;
end
1'd1: begin
rhs_array_muxed10 <= sdram_bankmachine1_cmd_payload_is_write;
end
2'd2: begin
rhs_array_muxed10 <= sdram_bankmachine2_cmd_payload_is_write;
end
2'd3: begin
rhs_array_muxed10 <= sdram_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
rhs_array_muxed10 <= sdram_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
rhs_array_muxed10 <= sdram_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
rhs_array_muxed10 <= sdram_bankmachine6_cmd_payload_is_write;
end
default: begin
rhs_array_muxed10 <= sdram_bankmachine7_cmd_payload_is_write;
end
endcase
end
always @(*) begin
rhs_array_muxed11 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
rhs_array_muxed11 <= sdram_bankmachine0_cmd_payload_is_cmd;
end
1'd1: begin
rhs_array_muxed11 <= sdram_bankmachine1_cmd_payload_is_cmd;
end
2'd2: begin
rhs_array_muxed11 <= sdram_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
rhs_array_muxed11 <= sdram_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
rhs_array_muxed11 <= sdram_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
rhs_array_muxed11 <= sdram_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
rhs_array_muxed11 <= sdram_bankmachine6_cmd_payload_is_cmd;
end
default: begin
rhs_array_muxed11 <= sdram_bankmachine7_cmd_payload_is_cmd;
end
endcase
end
always @(*) begin
t_array_muxed3 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
t_array_muxed3 <= sdram_bankmachine0_cmd_payload_cas;
end
1'd1: begin
t_array_muxed3 <= sdram_bankmachine1_cmd_payload_cas;
end
2'd2: begin
t_array_muxed3 <= sdram_bankmachine2_cmd_payload_cas;
end
2'd3: begin
t_array_muxed3 <= sdram_bankmachine3_cmd_payload_cas;
end
3'd4: begin
t_array_muxed3 <= sdram_bankmachine4_cmd_payload_cas;
end
3'd5: begin
t_array_muxed3 <= sdram_bankmachine5_cmd_payload_cas;
end
3'd6: begin
t_array_muxed3 <= sdram_bankmachine6_cmd_payload_cas;
end
default: begin
t_array_muxed3 <= sdram_bankmachine7_cmd_payload_cas;
end
endcase
end
always @(*) begin
t_array_muxed4 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
t_array_muxed4 <= sdram_bankmachine0_cmd_payload_ras;
end
1'd1: begin
t_array_muxed4 <= sdram_bankmachine1_cmd_payload_ras;
end
2'd2: begin
t_array_muxed4 <= sdram_bankmachine2_cmd_payload_ras;
end
2'd3: begin
t_array_muxed4 <= sdram_bankmachine3_cmd_payload_ras;
end
3'd4: begin
t_array_muxed4 <= sdram_bankmachine4_cmd_payload_ras;
end
3'd5: begin
t_array_muxed4 <= sdram_bankmachine5_cmd_payload_ras;
end
3'd6: begin
t_array_muxed4 <= sdram_bankmachine6_cmd_payload_ras;
end
default: begin
t_array_muxed4 <= sdram_bankmachine7_cmd_payload_ras;
end
endcase
end
always @(*) begin
t_array_muxed5 <= 1'd0;
case (sdram_choose_req_grant)
1'd0: begin
t_array_muxed5 <= sdram_bankmachine0_cmd_payload_we;
end
1'd1: begin
t_array_muxed5 <= sdram_bankmachine1_cmd_payload_we;
end
2'd2: begin
t_array_muxed5 <= sdram_bankmachine2_cmd_payload_we;
end
2'd3: begin
t_array_muxed5 <= sdram_bankmachine3_cmd_payload_we;
end
3'd4: begin
t_array_muxed5 <= sdram_bankmachine4_cmd_payload_we;
end
3'd5: begin
t_array_muxed5 <= sdram_bankmachine5_cmd_payload_we;
end
3'd6: begin
t_array_muxed5 <= sdram_bankmachine6_cmd_payload_we;
end
default: begin
t_array_muxed5 <= sdram_bankmachine7_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed12 <= 21'd0;
case (roundrobin0_grant)
default: begin
rhs_array_muxed12 <= rca;
end
endcase
end
always @(*) begin
rhs_array_muxed13 <= 1'd0;
case (roundrobin0_grant)
default: begin
rhs_array_muxed13 <= port_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed14 <= 1'd0;
case (roundrobin0_grant)
default: begin
rhs_array_muxed14 <= (((cba == 1'd0) & (~(((((((1'd0 | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
end
always @(*) begin
rhs_array_muxed15 <= 21'd0;
case (roundrobin1_grant)
default: begin
rhs_array_muxed15 <= rca;
end
endcase
end
always @(*) begin
rhs_array_muxed16 <= 1'd0;
case (roundrobin1_grant)
default: begin
rhs_array_muxed16 <= port_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed17 <= 1'd0;
case (roundrobin1_grant)
default: begin
rhs_array_muxed17 <= (((cba == 1'd1) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
end
always @(*) begin
rhs_array_muxed18 <= 21'd0;
case (roundrobin2_grant)
default: begin
rhs_array_muxed18 <= rca;
end
endcase
end
always @(*) begin
rhs_array_muxed19 <= 1'd0;
case (roundrobin2_grant)
default: begin
rhs_array_muxed19 <= port_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed20 <= 1'd0;
case (roundrobin2_grant)
default: begin
rhs_array_muxed20 <= (((cba == 2'd2) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
end
always @(*) begin
rhs_array_muxed21 <= 21'd0;
case (roundrobin3_grant)
default: begin
rhs_array_muxed21 <= rca;
end
endcase
end
always @(*) begin
rhs_array_muxed22 <= 1'd0;
case (roundrobin3_grant)
default: begin
rhs_array_muxed22 <= port_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed23 <= 1'd0;
case (roundrobin3_grant)
default: begin
rhs_array_muxed23 <= (((cba == 2'd3) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
end
always @(*) begin
rhs_array_muxed24 <= 21'd0;
case (roundrobin4_grant)
default: begin
rhs_array_muxed24 <= rca;
end
endcase
end
always @(*) begin
rhs_array_muxed25 <= 1'd0;
case (roundrobin4_grant)
default: begin
rhs_array_muxed25 <= port_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed26 <= 1'd0;
case (roundrobin4_grant)
default: begin
rhs_array_muxed26 <= (((cba == 3'd4) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
end
always @(*) begin
rhs_array_muxed27 <= 21'd0;
case (roundrobin5_grant)
default: begin
rhs_array_muxed27 <= rca;
end
endcase
end
always @(*) begin
rhs_array_muxed28 <= 1'd0;
case (roundrobin5_grant)
default: begin
rhs_array_muxed28 <= port_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed29 <= 1'd0;
case (roundrobin5_grant)
default: begin
rhs_array_muxed29 <= (((cba == 3'd5) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
end
always @(*) begin
rhs_array_muxed30 <= 21'd0;
case (roundrobin6_grant)
default: begin
rhs_array_muxed30 <= rca;
end
endcase
end
always @(*) begin
rhs_array_muxed31 <= 1'd0;
case (roundrobin6_grant)
default: begin
rhs_array_muxed31 <= port_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed32 <= 1'd0;
case (roundrobin6_grant)
default: begin
rhs_array_muxed32 <= (((cba == 3'd6) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & port_cmd_valid);
end
endcase
end
always @(*) begin
rhs_array_muxed33 <= 21'd0;
case (roundrobin7_grant)
default: begin
rhs_array_muxed33 <= rca;
end
endcase
end
always @(*) begin
rhs_array_muxed34 <= 1'd0;
case (roundrobin7_grant)
default: begin
rhs_array_muxed34 <= port_cmd_payload_we;
end
endcase
end
always @(*) begin
rhs_array_muxed35 <= 1'd0;
case (roundrobin7_grant)
default: begin
rhs_array_muxed35 <= (((cba == 3'd7) & (~(((((((1'd0 | (sdram_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (sdram_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (sdram_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (sdram_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (sdram_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (sdram_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (sdram_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & port_cmd_valid);
end
endcase
end
always @(*) begin
rhs_array_muxed36 <= 30'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed36 <= interface1_wb_sdram_adr;
end
endcase
end
always @(*) begin
rhs_array_muxed37 <= 32'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed37 <= interface1_wb_sdram_dat_w;
end
endcase
end
always @(*) begin
rhs_array_muxed38 <= 4'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed38 <= interface1_wb_sdram_sel;
end
endcase
end
always @(*) begin
rhs_array_muxed39 <= 1'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed39 <= interface1_wb_sdram_cyc;
end
endcase
end
always @(*) begin
rhs_array_muxed40 <= 1'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed40 <= interface1_wb_sdram_stb;
end
endcase
end
always @(*) begin
rhs_array_muxed41 <= 1'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed41 <= interface1_wb_sdram_we;
end
endcase
end
always @(*) begin
rhs_array_muxed42 <= 3'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed42 <= interface1_wb_sdram_cti;
end
endcase
end
always @(*) begin
rhs_array_muxed43 <= 2'd0;
case (wb_sdram_con_grant)
default: begin
rhs_array_muxed43 <= interface1_wb_sdram_bte;
end
endcase
end
always @(*) begin
rhs_array_muxed44 <= 30'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed44 <= basesoc_lm32_ibus_adr;
end
default: begin
rhs_array_muxed44 <= basesoc_lm32_dbus_adr;
end
endcase
end
always @(*) begin
rhs_array_muxed45 <= 32'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed45 <= basesoc_lm32_ibus_dat_w;
end
default: begin
rhs_array_muxed45 <= basesoc_lm32_dbus_dat_w;
end
endcase
end
always @(*) begin
rhs_array_muxed46 <= 4'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed46 <= basesoc_lm32_ibus_sel;
end
default: begin
rhs_array_muxed46 <= basesoc_lm32_dbus_sel;
end
endcase
end
always @(*) begin
rhs_array_muxed47 <= 1'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed47 <= basesoc_lm32_ibus_cyc;
end
default: begin
rhs_array_muxed47 <= basesoc_lm32_dbus_cyc;
end
endcase
end
always @(*) begin
rhs_array_muxed48 <= 1'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed48 <= basesoc_lm32_ibus_stb;
end
default: begin
rhs_array_muxed48 <= basesoc_lm32_dbus_stb;
end
endcase
end
always @(*) begin
rhs_array_muxed49 <= 1'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed49 <= basesoc_lm32_ibus_we;
end
default: begin
rhs_array_muxed49 <= basesoc_lm32_dbus_we;
end
endcase
end
always @(*) begin
rhs_array_muxed50 <= 3'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed50 <= basesoc_lm32_ibus_cti;
end
default: begin
rhs_array_muxed50 <= basesoc_lm32_dbus_cti;
end
endcase
end
always @(*) begin
rhs_array_muxed51 <= 2'd0;
case (basesoc_grant)
1'd0: begin
rhs_array_muxed51 <= basesoc_lm32_ibus_bte;
end
default: begin
rhs_array_muxed51 <= basesoc_lm32_dbus_bte;
end
endcase
end
always @(*) begin
array_muxed0 <= 3'd0;
case (sdram_sel0)
1'd0: begin
array_muxed0 <= sdram_nop_ba[2:0];
end
1'd1: begin
array_muxed0 <= sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
array_muxed0 <= sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
array_muxed0 <= sdram_cmd_payload_ba[2:0];
end
endcase
end
always @(*) begin
array_muxed1 <= 14'd0;
case (sdram_sel0)
1'd0: begin
array_muxed1 <= sdram_nop_a;
end
1'd1: begin
array_muxed1 <= sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
array_muxed1 <= sdram_choose_req_cmd_payload_a;
end
default: begin
array_muxed1 <= sdram_cmd_payload_a;
end
endcase
end
always @(*) begin
array_muxed2 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed2 <= sdram_nop_cas;
end
1'd1: begin
array_muxed2 <= sdram_choose_cmd_cmd_payload_cas;
end
2'd2: begin
array_muxed2 <= sdram_choose_req_cmd_payload_cas;
end
default: begin
array_muxed2 <= sdram_cmd_payload_cas;
end
endcase
end
always @(*) begin
array_muxed3 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed3 <= sdram_nop_ras;
end
1'd1: begin
array_muxed3 <= sdram_choose_cmd_cmd_payload_ras;
end
2'd2: begin
array_muxed3 <= sdram_choose_req_cmd_payload_ras;
end
default: begin
array_muxed3 <= sdram_cmd_payload_ras;
end
endcase
end
always @(*) begin
array_muxed4 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed4 <= sdram_nop_we;
end
1'd1: begin
array_muxed4 <= sdram_choose_cmd_cmd_payload_we;
end
2'd2: begin
array_muxed4 <= sdram_choose_req_cmd_payload_we;
end
default: begin
array_muxed4 <= sdram_cmd_payload_we;
end
endcase
end
always @(*) begin
array_muxed5 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed5 <= 1'd0;
end
1'd1: begin
array_muxed5 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
array_muxed5 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_read);
end
default: begin
array_muxed5 <= (sdram_cmd_valid & sdram_cmd_payload_is_read);
end
endcase
end
always @(*) begin
array_muxed6 <= 1'd0;
case (sdram_sel0)
1'd0: begin
array_muxed6 <= 1'd0;
end
1'd1: begin
array_muxed6 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
array_muxed6 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_write);
end
default: begin
array_muxed6 <= (sdram_cmd_valid & sdram_cmd_payload_is_write);
end
endcase
end
always @(*) begin
array_muxed7 <= 3'd0;
case (sdram_sel1)
1'd0: begin
array_muxed7 <= sdram_nop_ba[2:0];
end
1'd1: begin
array_muxed7 <= sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
array_muxed7 <= sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
array_muxed7 <= sdram_cmd_payload_ba[2:0];
end
endcase
end
always @(*) begin
array_muxed8 <= 14'd0;
case (sdram_sel1)
1'd0: begin
array_muxed8 <= sdram_nop_a;
end
1'd1: begin
array_muxed8 <= sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
array_muxed8 <= sdram_choose_req_cmd_payload_a;
end
default: begin
array_muxed8 <= sdram_cmd_payload_a;
end
endcase
end
always @(*) begin
array_muxed9 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed9 <= sdram_nop_cas;
end
1'd1: begin
array_muxed9 <= sdram_choose_cmd_cmd_payload_cas;
end
2'd2: begin
array_muxed9 <= sdram_choose_req_cmd_payload_cas;
end
default: begin
array_muxed9 <= sdram_cmd_payload_cas;
end
endcase
end
always @(*) begin
array_muxed10 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed10 <= sdram_nop_ras;
end
1'd1: begin
array_muxed10 <= sdram_choose_cmd_cmd_payload_ras;
end
2'd2: begin
array_muxed10 <= sdram_choose_req_cmd_payload_ras;
end
default: begin
array_muxed10 <= sdram_cmd_payload_ras;
end
endcase
end
always @(*) begin
array_muxed11 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed11 <= sdram_nop_we;
end
1'd1: begin
array_muxed11 <= sdram_choose_cmd_cmd_payload_we;
end
2'd2: begin
array_muxed11 <= sdram_choose_req_cmd_payload_we;
end
default: begin
array_muxed11 <= sdram_cmd_payload_we;
end
endcase
end
always @(*) begin
array_muxed12 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed12 <= 1'd0;
end
1'd1: begin
array_muxed12 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
array_muxed12 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_read);
end
default: begin
array_muxed12 <= (sdram_cmd_valid & sdram_cmd_payload_is_read);
end
endcase
end
always @(*) begin
array_muxed13 <= 1'd0;
case (sdram_sel1)
1'd0: begin
array_muxed13 <= 1'd0;
end
1'd1: begin
array_muxed13 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
array_muxed13 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_write);
end
default: begin
array_muxed13 <= (sdram_cmd_valid & sdram_cmd_payload_is_write);
end
endcase
end
always @(*) begin
array_muxed14 <= 3'd0;
case (sdram_sel2)
1'd0: begin
array_muxed14 <= sdram_nop_ba[2:0];
end
1'd1: begin
array_muxed14 <= sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
array_muxed14 <= sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
array_muxed14 <= sdram_cmd_payload_ba[2:0];
end
endcase
end
always @(*) begin
array_muxed15 <= 14'd0;
case (sdram_sel2)
1'd0: begin
array_muxed15 <= sdram_nop_a;
end
1'd1: begin
array_muxed15 <= sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
array_muxed15 <= sdram_choose_req_cmd_payload_a;
end
default: begin
array_muxed15 <= sdram_cmd_payload_a;
end
endcase
end
always @(*) begin
array_muxed16 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed16 <= sdram_nop_cas;
end
1'd1: begin
array_muxed16 <= sdram_choose_cmd_cmd_payload_cas;
end
2'd2: begin
array_muxed16 <= sdram_choose_req_cmd_payload_cas;
end
default: begin
array_muxed16 <= sdram_cmd_payload_cas;
end
endcase
end
always @(*) begin
array_muxed17 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed17 <= sdram_nop_ras;
end
1'd1: begin
array_muxed17 <= sdram_choose_cmd_cmd_payload_ras;
end
2'd2: begin
array_muxed17 <= sdram_choose_req_cmd_payload_ras;
end
default: begin
array_muxed17 <= sdram_cmd_payload_ras;
end
endcase
end
always @(*) begin
array_muxed18 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed18 <= sdram_nop_we;
end
1'd1: begin
array_muxed18 <= sdram_choose_cmd_cmd_payload_we;
end
2'd2: begin
array_muxed18 <= sdram_choose_req_cmd_payload_we;
end
default: begin
array_muxed18 <= sdram_cmd_payload_we;
end
endcase
end
always @(*) begin
array_muxed19 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed19 <= 1'd0;
end
1'd1: begin
array_muxed19 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
array_muxed19 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_read);
end
default: begin
array_muxed19 <= (sdram_cmd_valid & sdram_cmd_payload_is_read);
end
endcase
end
always @(*) begin
array_muxed20 <= 1'd0;
case (sdram_sel2)
1'd0: begin
array_muxed20 <= 1'd0;
end
1'd1: begin
array_muxed20 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
array_muxed20 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_write);
end
default: begin
array_muxed20 <= (sdram_cmd_valid & sdram_cmd_payload_is_write);
end
endcase
end
always @(*) begin
array_muxed21 <= 3'd0;
case (sdram_sel3)
1'd0: begin
array_muxed21 <= sdram_nop_ba[2:0];
end
1'd1: begin
array_muxed21 <= sdram_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
array_muxed21 <= sdram_choose_req_cmd_payload_ba[2:0];
end
default: begin
array_muxed21 <= sdram_cmd_payload_ba[2:0];
end
endcase
end
always @(*) begin
array_muxed22 <= 14'd0;
case (sdram_sel3)
1'd0: begin
array_muxed22 <= sdram_nop_a;
end
1'd1: begin
array_muxed22 <= sdram_choose_cmd_cmd_payload_a;
end
2'd2: begin
array_muxed22 <= sdram_choose_req_cmd_payload_a;
end
default: begin
array_muxed22 <= sdram_cmd_payload_a;
end
endcase
end
always @(*) begin
array_muxed23 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed23 <= sdram_nop_cas;
end
1'd1: begin
array_muxed23 <= sdram_choose_cmd_cmd_payload_cas;
end
2'd2: begin
array_muxed23 <= sdram_choose_req_cmd_payload_cas;
end
default: begin
array_muxed23 <= sdram_cmd_payload_cas;
end
endcase
end
always @(*) begin
array_muxed24 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed24 <= sdram_nop_ras;
end
1'd1: begin
array_muxed24 <= sdram_choose_cmd_cmd_payload_ras;
end
2'd2: begin
array_muxed24 <= sdram_choose_req_cmd_payload_ras;
end
default: begin
array_muxed24 <= sdram_cmd_payload_ras;
end
endcase
end
always @(*) begin
array_muxed25 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed25 <= sdram_nop_we;
end
1'd1: begin
array_muxed25 <= sdram_choose_cmd_cmd_payload_we;
end
2'd2: begin
array_muxed25 <= sdram_choose_req_cmd_payload_we;
end
default: begin
array_muxed25 <= sdram_cmd_payload_we;
end
endcase
end
always @(*) begin
array_muxed26 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed26 <= 1'd0;
end
1'd1: begin
array_muxed26 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
array_muxed26 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_read);
end
default: begin
array_muxed26 <= (sdram_cmd_valid & sdram_cmd_payload_is_read);
end
endcase
end
always @(*) begin
array_muxed27 <= 1'd0;
case (sdram_sel3)
1'd0: begin
array_muxed27 <= 1'd0;
end
1'd1: begin
array_muxed27 <= (sdram_choose_cmd_cmd_valid & sdram_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
array_muxed27 <= (sdram_choose_req_cmd_valid & sdram_choose_req_cmd_payload_is_write);
end
default: begin
array_muxed27 <= (sdram_cmd_valid & sdram_cmd_payload_is_write);
end
endcase
end
assign basesoc_uart_phy_rx = regs1;
assign xilinxasyncresetsynchronizerimpl0 = ((~pll_locked) | (~cpu_reset));
assign xilinxasyncresetsynchronizerimpl1 = ((~pll_locked) | (~cpu_reset));
assign xilinxasyncresetsynchronizerimpl2 = ((~pll_locked) | (~cpu_reset));
always @(posedge clk200_clk) begin
if ((reset_counter != 1'd0)) begin
reset_counter <= (reset_counter - 1'd1);
end else begin
ic_reset <= 1'd0;
end
if (clk200_rst) begin
reset_counter <= 4'd15;
ic_reset <= 1'd1;
end
end
always @(posedge sys_clk) begin
if ((basesoc_ctrl_bus_errors != 32'd4294967295)) begin
if (basesoc_ctrl_bus_error) begin
basesoc_ctrl_bus_errors <= (basesoc_ctrl_bus_errors + 1'd1);
end
end
basesoc_rom_bus_ack <= 1'd0;
if (((basesoc_rom_bus_cyc & basesoc_rom_bus_stb) & (~basesoc_rom_bus_ack))) begin
basesoc_rom_bus_ack <= 1'd1;
end
basesoc_sram_bus_ack <= 1'd0;
if (((basesoc_sram_bus_cyc & basesoc_sram_bus_stb) & (~basesoc_sram_bus_ack))) begin
basesoc_sram_bus_ack <= 1'd1;
end
basesoc_interface_we <= 1'd0;
basesoc_interface_dat_w <= basesoc_bus_wishbone_dat_w;
basesoc_interface_adr <= basesoc_bus_wishbone_adr;
basesoc_bus_wishbone_dat_r <= basesoc_interface_dat_r;
if ((basesoc_counter == 1'd1)) begin
basesoc_interface_we <= basesoc_bus_wishbone_we;
end
if ((basesoc_counter == 2'd2)) begin
basesoc_bus_wishbone_ack <= 1'd1;
end
if ((basesoc_counter == 2'd3)) begin
basesoc_bus_wishbone_ack <= 1'd0;
end
if ((basesoc_counter != 1'd0)) begin
basesoc_counter <= (basesoc_counter + 1'd1);
end else begin
if ((basesoc_bus_wishbone_cyc & basesoc_bus_wishbone_stb)) begin
basesoc_counter <= 1'd1;
end
end
basesoc_uart_phy_sink_ready <= 1'd0;
if (((basesoc_uart_phy_sink_valid & (~basesoc_uart_phy_tx_busy)) & (~basesoc_uart_phy_sink_ready))) begin
basesoc_uart_phy_tx_reg <= basesoc_uart_phy_sink_payload_data;
basesoc_uart_phy_tx_bitcount <= 1'd0;
basesoc_uart_phy_tx_busy <= 1'd1;
serial_tx <= 1'd0;
end else begin
if ((basesoc_uart_phy_uart_clk_txen & basesoc_uart_phy_tx_busy)) begin
basesoc_uart_phy_tx_bitcount <= (basesoc_uart_phy_tx_bitcount + 1'd1);
if ((basesoc_uart_phy_tx_bitcount == 4'd8)) begin
serial_tx <= 1'd1;
end else begin
if ((basesoc_uart_phy_tx_bitcount == 4'd9)) begin
serial_tx <= 1'd1;
basesoc_uart_phy_tx_busy <= 1'd0;
basesoc_uart_phy_sink_ready <= 1'd1;
end else begin
serial_tx <= basesoc_uart_phy_tx_reg[0];
basesoc_uart_phy_tx_reg <= {1'd0, basesoc_uart_phy_tx_reg[7:1]};
end
end
end
end
if (basesoc_uart_phy_tx_busy) begin
{basesoc_uart_phy_uart_clk_txen, basesoc_uart_phy_phase_accumulator_tx} <= (basesoc_uart_phy_phase_accumulator_tx + basesoc_uart_phy_storage);
end else begin
{basesoc_uart_phy_uart_clk_txen, basesoc_uart_phy_phase_accumulator_tx} <= 1'd0;
end
basesoc_uart_phy_source_valid <= 1'd0;
basesoc_uart_phy_rx_r <= basesoc_uart_phy_rx;
if ((~basesoc_uart_phy_rx_busy)) begin
if (((~basesoc_uart_phy_rx) & basesoc_uart_phy_rx_r)) begin
basesoc_uart_phy_rx_busy <= 1'd1;
basesoc_uart_phy_rx_bitcount <= 1'd0;
end
end else begin
if (basesoc_uart_phy_uart_clk_rxen) begin
basesoc_uart_phy_rx_bitcount <= (basesoc_uart_phy_rx_bitcount + 1'd1);
if ((basesoc_uart_phy_rx_bitcount == 1'd0)) begin
if (basesoc_uart_phy_rx) begin
basesoc_uart_phy_rx_busy <= 1'd0;
end
end else begin
if ((basesoc_uart_phy_rx_bitcount == 4'd9)) begin
basesoc_uart_phy_rx_busy <= 1'd0;
if (basesoc_uart_phy_rx) begin
basesoc_uart_phy_source_payload_data <= basesoc_uart_phy_rx_reg;
basesoc_uart_phy_source_valid <= 1'd1;
end
end else begin
basesoc_uart_phy_rx_reg <= {basesoc_uart_phy_rx, basesoc_uart_phy_rx_reg[7:1]};
end
end
end
end
if (basesoc_uart_phy_rx_busy) begin
{basesoc_uart_phy_uart_clk_rxen, basesoc_uart_phy_phase_accumulator_rx} <= (basesoc_uart_phy_phase_accumulator_rx + basesoc_uart_phy_storage);
end else begin
{basesoc_uart_phy_uart_clk_rxen, basesoc_uart_phy_phase_accumulator_rx} <= 32'd2147483648;
end
if (basesoc_uart_tx_clear) begin
basesoc_uart_tx_pending <= 1'd0;
end
basesoc_uart_tx_old_trigger <= basesoc_uart_tx_trigger;
if (((~basesoc_uart_tx_trigger) & basesoc_uart_tx_old_trigger)) begin
basesoc_uart_tx_pending <= 1'd1;
end
if (basesoc_uart_rx_clear) begin
basesoc_uart_rx_pending <= 1'd0;
end
basesoc_uart_rx_old_trigger <= basesoc_uart_rx_trigger;
if (((~basesoc_uart_rx_trigger) & basesoc_uart_rx_old_trigger)) begin
basesoc_uart_rx_pending <= 1'd1;
end
if (((basesoc_uart_tx_fifo_syncfifo_we & basesoc_uart_tx_fifo_syncfifo_writable) & (~basesoc_uart_tx_fifo_replace))) begin
basesoc_uart_tx_fifo_produce <= (basesoc_uart_tx_fifo_produce + 1'd1);
end
if (basesoc_uart_tx_fifo_do_read) begin
basesoc_uart_tx_fifo_consume <= (basesoc_uart_tx_fifo_consume + 1'd1);
end
if (((basesoc_uart_tx_fifo_syncfifo_we & basesoc_uart_tx_fifo_syncfifo_writable) & (~basesoc_uart_tx_fifo_replace))) begin
if ((~basesoc_uart_tx_fifo_do_read)) begin
basesoc_uart_tx_fifo_level <= (basesoc_uart_tx_fifo_level + 1'd1);
end
end else begin
if (basesoc_uart_tx_fifo_do_read) begin
basesoc_uart_tx_fifo_level <= (basesoc_uart_tx_fifo_level - 1'd1);
end
end
if (((basesoc_uart_rx_fifo_syncfifo_we & basesoc_uart_rx_fifo_syncfifo_writable) & (~basesoc_uart_rx_fifo_replace))) begin
basesoc_uart_rx_fifo_produce <= (basesoc_uart_rx_fifo_produce + 1'd1);
end
if (basesoc_uart_rx_fifo_do_read) begin
basesoc_uart_rx_fifo_consume <= (basesoc_uart_rx_fifo_consume + 1'd1);
end
if (((basesoc_uart_rx_fifo_syncfifo_we & basesoc_uart_rx_fifo_syncfifo_writable) & (~basesoc_uart_rx_fifo_replace))) begin
if ((~basesoc_uart_rx_fifo_do_read)) begin
basesoc_uart_rx_fifo_level <= (basesoc_uart_rx_fifo_level + 1'd1);
end
end else begin
if (basesoc_uart_rx_fifo_do_read) begin
basesoc_uart_rx_fifo_level <= (basesoc_uart_rx_fifo_level - 1'd1);
end
end
if (basesoc_uart_reset) begin
basesoc_uart_tx_pending <= 1'd0;
basesoc_uart_tx_old_trigger <= 1'd0;
basesoc_uart_rx_pending <= 1'd0;
basesoc_uart_rx_old_trigger <= 1'd0;
basesoc_uart_tx_fifo_level <= 5'd0;
basesoc_uart_tx_fifo_produce <= 4'd0;
basesoc_uart_tx_fifo_consume <= 4'd0;
basesoc_uart_rx_fifo_level <= 5'd0;
basesoc_uart_rx_fifo_produce <= 4'd0;
basesoc_uart_rx_fifo_consume <= 4'd0;
end
if (basesoc_timer0_en_storage) begin
if ((basesoc_timer0_value == 1'd0)) begin
basesoc_timer0_value <= basesoc_timer0_reload_storage;
end else begin
basesoc_timer0_value <= (basesoc_timer0_value - 1'd1);
end
end else begin
basesoc_timer0_value <= basesoc_timer0_load_storage;
end
if (basesoc_timer0_update_value_re) begin
basesoc_timer0_value_status <= basesoc_timer0_value;
end
if (basesoc_timer0_zero_clear) begin
basesoc_timer0_zero_pending <= 1'd0;
end
basesoc_timer0_zero_old_trigger <= basesoc_timer0_zero_trigger;
if (((~basesoc_timer0_zero_trigger) & basesoc_timer0_zero_old_trigger)) begin
basesoc_timer0_zero_pending <= 1'd1;
end
a7ddrphy_n_rddata_en0 <= a7ddrphy_dfi_p2_rddata_en;
a7ddrphy_n_rddata_en1 <= a7ddrphy_n_rddata_en0;
a7ddrphy_n_rddata_en2 <= a7ddrphy_n_rddata_en1;
a7ddrphy_n_rddata_en3 <= a7ddrphy_n_rddata_en2;
a7ddrphy_n_rddata_en4 <= a7ddrphy_n_rddata_en3;
a7ddrphy_dfi_p0_rddata_valid <= a7ddrphy_n_rddata_en4;
a7ddrphy_dfi_p1_rddata_valid <= a7ddrphy_n_rddata_en4;
a7ddrphy_dfi_p2_rddata_valid <= a7ddrphy_n_rddata_en4;
a7ddrphy_dfi_p3_rddata_valid <= a7ddrphy_n_rddata_en4;
a7ddrphy_last_wrdata_en <= {a7ddrphy_last_wrdata_en[2:0], a7ddrphy_dfi_p3_wrdata_en};
a7ddrphy_oe_dqs <= a7ddrphy_oe;
a7ddrphy_oe_dq <= a7ddrphy_oe;
if (sdram_inti_p0_rddata_valid) begin
sdram_phaseinjector0_status <= sdram_inti_p0_rddata;
end
if (sdram_inti_p1_rddata_valid) begin
sdram_phaseinjector1_status <= sdram_inti_p1_rddata;
end
if (sdram_inti_p2_rddata_valid) begin
sdram_phaseinjector2_status <= sdram_inti_p2_rddata;
end
if (sdram_inti_p3_rddata_valid) begin
sdram_phaseinjector3_status <= sdram_inti_p3_rddata;
end
sdram_cmd_payload_a <= 11'd1024;
sdram_cmd_payload_ba <= 1'd0;
sdram_cmd_payload_cas <= 1'd0;
sdram_cmd_payload_ras <= 1'd0;
sdram_cmd_payload_we <= 1'd0;
sdram_seq_done <= 1'd0;
if ((sdram_counter == 1'd1)) begin
sdram_cmd_payload_ras <= 1'd1;
sdram_cmd_payload_we <= 1'd1;
end
if ((sdram_counter == 3'd4)) begin
sdram_cmd_payload_cas <= 1'd1;
sdram_cmd_payload_ras <= 1'd1;
end
if ((sdram_counter == 5'd18)) begin
sdram_seq_done <= 1'd1;
end
if ((sdram_counter == 5'd18)) begin
sdram_counter <= 1'd0;
end else begin
if ((sdram_counter != 1'd0)) begin
sdram_counter <= (sdram_counter + 1'd1);
end else begin
if (sdram_seq_start) begin
sdram_counter <= 1'd1;
end
end
end
if (sdram_wait) begin
if ((~sdram_done)) begin
sdram_count <= (sdram_count - 1'd1);
end
end else begin
sdram_count <= 10'd782;
end
refresher_state <= refresher_next_state;
if (sdram_bankmachine0_track_close) begin
sdram_bankmachine0_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine0_track_open) begin
sdram_bankmachine0_has_openrow <= 1'd1;
sdram_bankmachine0_openrow <= sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine0_cmd_buffer_lookahead_produce <= (sdram_bankmachine0_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine0_cmd_buffer_lookahead_consume <= (sdram_bankmachine0_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine0_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine0_cmd_buffer_lookahead_level <= (sdram_bankmachine0_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine0_cmd_buffer_lookahead_level <= (sdram_bankmachine0_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine0_cmd_buffer_pipe_ce) begin
sdram_bankmachine0_cmd_buffer_valid_n <= sdram_bankmachine0_cmd_buffer_sink_valid;
end
if (sdram_bankmachine0_cmd_buffer_pipe_ce) begin
sdram_bankmachine0_cmd_buffer_first_n <= (sdram_bankmachine0_cmd_buffer_sink_valid & sdram_bankmachine0_cmd_buffer_sink_first);
sdram_bankmachine0_cmd_buffer_last_n <= (sdram_bankmachine0_cmd_buffer_sink_valid & sdram_bankmachine0_cmd_buffer_sink_last);
end
if (sdram_bankmachine0_cmd_buffer_pipe_ce) begin
sdram_bankmachine0_cmd_buffer_source_payload_we <= sdram_bankmachine0_cmd_buffer_sink_payload_we;
sdram_bankmachine0_cmd_buffer_source_payload_addr <= sdram_bankmachine0_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine0_wait) begin
if ((~sdram_bankmachine0_done)) begin
sdram_bankmachine0_count <= (sdram_bankmachine0_count - 1'd1);
end
end else begin
sdram_bankmachine0_count <= 3'd5;
end
bankmachine0_state <= bankmachine0_next_state;
if (sdram_bankmachine1_track_close) begin
sdram_bankmachine1_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine1_track_open) begin
sdram_bankmachine1_has_openrow <= 1'd1;
sdram_bankmachine1_openrow <= sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine1_cmd_buffer_lookahead_produce <= (sdram_bankmachine1_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine1_cmd_buffer_lookahead_consume <= (sdram_bankmachine1_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine1_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine1_cmd_buffer_lookahead_level <= (sdram_bankmachine1_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine1_cmd_buffer_lookahead_level <= (sdram_bankmachine1_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine1_cmd_buffer_pipe_ce) begin
sdram_bankmachine1_cmd_buffer_valid_n <= sdram_bankmachine1_cmd_buffer_sink_valid;
end
if (sdram_bankmachine1_cmd_buffer_pipe_ce) begin
sdram_bankmachine1_cmd_buffer_first_n <= (sdram_bankmachine1_cmd_buffer_sink_valid & sdram_bankmachine1_cmd_buffer_sink_first);
sdram_bankmachine1_cmd_buffer_last_n <= (sdram_bankmachine1_cmd_buffer_sink_valid & sdram_bankmachine1_cmd_buffer_sink_last);
end
if (sdram_bankmachine1_cmd_buffer_pipe_ce) begin
sdram_bankmachine1_cmd_buffer_source_payload_we <= sdram_bankmachine1_cmd_buffer_sink_payload_we;
sdram_bankmachine1_cmd_buffer_source_payload_addr <= sdram_bankmachine1_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine1_wait) begin
if ((~sdram_bankmachine1_done)) begin
sdram_bankmachine1_count <= (sdram_bankmachine1_count - 1'd1);
end
end else begin
sdram_bankmachine1_count <= 3'd5;
end
bankmachine1_state <= bankmachine1_next_state;
if (sdram_bankmachine2_track_close) begin
sdram_bankmachine2_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine2_track_open) begin
sdram_bankmachine2_has_openrow <= 1'd1;
sdram_bankmachine2_openrow <= sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine2_cmd_buffer_lookahead_produce <= (sdram_bankmachine2_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine2_cmd_buffer_lookahead_consume <= (sdram_bankmachine2_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine2_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine2_cmd_buffer_lookahead_level <= (sdram_bankmachine2_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine2_cmd_buffer_lookahead_level <= (sdram_bankmachine2_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine2_cmd_buffer_pipe_ce) begin
sdram_bankmachine2_cmd_buffer_valid_n <= sdram_bankmachine2_cmd_buffer_sink_valid;
end
if (sdram_bankmachine2_cmd_buffer_pipe_ce) begin
sdram_bankmachine2_cmd_buffer_first_n <= (sdram_bankmachine2_cmd_buffer_sink_valid & sdram_bankmachine2_cmd_buffer_sink_first);
sdram_bankmachine2_cmd_buffer_last_n <= (sdram_bankmachine2_cmd_buffer_sink_valid & sdram_bankmachine2_cmd_buffer_sink_last);
end
if (sdram_bankmachine2_cmd_buffer_pipe_ce) begin
sdram_bankmachine2_cmd_buffer_source_payload_we <= sdram_bankmachine2_cmd_buffer_sink_payload_we;
sdram_bankmachine2_cmd_buffer_source_payload_addr <= sdram_bankmachine2_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine2_wait) begin
if ((~sdram_bankmachine2_done)) begin
sdram_bankmachine2_count <= (sdram_bankmachine2_count - 1'd1);
end
end else begin
sdram_bankmachine2_count <= 3'd5;
end
bankmachine2_state <= bankmachine2_next_state;
if (sdram_bankmachine3_track_close) begin
sdram_bankmachine3_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine3_track_open) begin
sdram_bankmachine3_has_openrow <= 1'd1;
sdram_bankmachine3_openrow <= sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine3_cmd_buffer_lookahead_produce <= (sdram_bankmachine3_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine3_cmd_buffer_lookahead_consume <= (sdram_bankmachine3_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine3_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine3_cmd_buffer_lookahead_level <= (sdram_bankmachine3_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine3_cmd_buffer_lookahead_level <= (sdram_bankmachine3_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine3_cmd_buffer_pipe_ce) begin
sdram_bankmachine3_cmd_buffer_valid_n <= sdram_bankmachine3_cmd_buffer_sink_valid;
end
if (sdram_bankmachine3_cmd_buffer_pipe_ce) begin
sdram_bankmachine3_cmd_buffer_first_n <= (sdram_bankmachine3_cmd_buffer_sink_valid & sdram_bankmachine3_cmd_buffer_sink_first);
sdram_bankmachine3_cmd_buffer_last_n <= (sdram_bankmachine3_cmd_buffer_sink_valid & sdram_bankmachine3_cmd_buffer_sink_last);
end
if (sdram_bankmachine3_cmd_buffer_pipe_ce) begin
sdram_bankmachine3_cmd_buffer_source_payload_we <= sdram_bankmachine3_cmd_buffer_sink_payload_we;
sdram_bankmachine3_cmd_buffer_source_payload_addr <= sdram_bankmachine3_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine3_wait) begin
if ((~sdram_bankmachine3_done)) begin
sdram_bankmachine3_count <= (sdram_bankmachine3_count - 1'd1);
end
end else begin
sdram_bankmachine3_count <= 3'd5;
end
bankmachine3_state <= bankmachine3_next_state;
if (sdram_bankmachine4_track_close) begin
sdram_bankmachine4_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine4_track_open) begin
sdram_bankmachine4_has_openrow <= 1'd1;
sdram_bankmachine4_openrow <= sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine4_cmd_buffer_lookahead_produce <= (sdram_bankmachine4_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine4_cmd_buffer_lookahead_consume <= (sdram_bankmachine4_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine4_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine4_cmd_buffer_lookahead_level <= (sdram_bankmachine4_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine4_cmd_buffer_lookahead_level <= (sdram_bankmachine4_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine4_cmd_buffer_pipe_ce) begin
sdram_bankmachine4_cmd_buffer_valid_n <= sdram_bankmachine4_cmd_buffer_sink_valid;
end
if (sdram_bankmachine4_cmd_buffer_pipe_ce) begin
sdram_bankmachine4_cmd_buffer_first_n <= (sdram_bankmachine4_cmd_buffer_sink_valid & sdram_bankmachine4_cmd_buffer_sink_first);
sdram_bankmachine4_cmd_buffer_last_n <= (sdram_bankmachine4_cmd_buffer_sink_valid & sdram_bankmachine4_cmd_buffer_sink_last);
end
if (sdram_bankmachine4_cmd_buffer_pipe_ce) begin
sdram_bankmachine4_cmd_buffer_source_payload_we <= sdram_bankmachine4_cmd_buffer_sink_payload_we;
sdram_bankmachine4_cmd_buffer_source_payload_addr <= sdram_bankmachine4_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine4_wait) begin
if ((~sdram_bankmachine4_done)) begin
sdram_bankmachine4_count <= (sdram_bankmachine4_count - 1'd1);
end
end else begin
sdram_bankmachine4_count <= 3'd5;
end
bankmachine4_state <= bankmachine4_next_state;
if (sdram_bankmachine5_track_close) begin
sdram_bankmachine5_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine5_track_open) begin
sdram_bankmachine5_has_openrow <= 1'd1;
sdram_bankmachine5_openrow <= sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine5_cmd_buffer_lookahead_produce <= (sdram_bankmachine5_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine5_cmd_buffer_lookahead_consume <= (sdram_bankmachine5_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine5_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine5_cmd_buffer_lookahead_level <= (sdram_bankmachine5_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine5_cmd_buffer_lookahead_level <= (sdram_bankmachine5_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine5_cmd_buffer_pipe_ce) begin
sdram_bankmachine5_cmd_buffer_valid_n <= sdram_bankmachine5_cmd_buffer_sink_valid;
end
if (sdram_bankmachine5_cmd_buffer_pipe_ce) begin
sdram_bankmachine5_cmd_buffer_first_n <= (sdram_bankmachine5_cmd_buffer_sink_valid & sdram_bankmachine5_cmd_buffer_sink_first);
sdram_bankmachine5_cmd_buffer_last_n <= (sdram_bankmachine5_cmd_buffer_sink_valid & sdram_bankmachine5_cmd_buffer_sink_last);
end
if (sdram_bankmachine5_cmd_buffer_pipe_ce) begin
sdram_bankmachine5_cmd_buffer_source_payload_we <= sdram_bankmachine5_cmd_buffer_sink_payload_we;
sdram_bankmachine5_cmd_buffer_source_payload_addr <= sdram_bankmachine5_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine5_wait) begin
if ((~sdram_bankmachine5_done)) begin
sdram_bankmachine5_count <= (sdram_bankmachine5_count - 1'd1);
end
end else begin
sdram_bankmachine5_count <= 3'd5;
end
bankmachine5_state <= bankmachine5_next_state;
if (sdram_bankmachine6_track_close) begin
sdram_bankmachine6_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine6_track_open) begin
sdram_bankmachine6_has_openrow <= 1'd1;
sdram_bankmachine6_openrow <= sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine6_cmd_buffer_lookahead_produce <= (sdram_bankmachine6_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine6_cmd_buffer_lookahead_consume <= (sdram_bankmachine6_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine6_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine6_cmd_buffer_lookahead_level <= (sdram_bankmachine6_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine6_cmd_buffer_lookahead_level <= (sdram_bankmachine6_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine6_cmd_buffer_pipe_ce) begin
sdram_bankmachine6_cmd_buffer_valid_n <= sdram_bankmachine6_cmd_buffer_sink_valid;
end
if (sdram_bankmachine6_cmd_buffer_pipe_ce) begin
sdram_bankmachine6_cmd_buffer_first_n <= (sdram_bankmachine6_cmd_buffer_sink_valid & sdram_bankmachine6_cmd_buffer_sink_first);
sdram_bankmachine6_cmd_buffer_last_n <= (sdram_bankmachine6_cmd_buffer_sink_valid & sdram_bankmachine6_cmd_buffer_sink_last);
end
if (sdram_bankmachine6_cmd_buffer_pipe_ce) begin
sdram_bankmachine6_cmd_buffer_source_payload_we <= sdram_bankmachine6_cmd_buffer_sink_payload_we;
sdram_bankmachine6_cmd_buffer_source_payload_addr <= sdram_bankmachine6_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine6_wait) begin
if ((~sdram_bankmachine6_done)) begin
sdram_bankmachine6_count <= (sdram_bankmachine6_count - 1'd1);
end
end else begin
sdram_bankmachine6_count <= 3'd5;
end
bankmachine6_state <= bankmachine6_next_state;
if (sdram_bankmachine7_track_close) begin
sdram_bankmachine7_has_openrow <= 1'd0;
end else begin
if (sdram_bankmachine7_track_open) begin
sdram_bankmachine7_has_openrow <= 1'd1;
sdram_bankmachine7_openrow <= sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7];
end
end
if (((sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
sdram_bankmachine7_cmd_buffer_lookahead_produce <= (sdram_bankmachine7_cmd_buffer_lookahead_produce + 1'd1);
end
if (sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine7_cmd_buffer_lookahead_consume <= (sdram_bankmachine7_cmd_buffer_lookahead_consume + 1'd1);
end
if (((sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
if ((~sdram_bankmachine7_cmd_buffer_lookahead_do_read)) begin
sdram_bankmachine7_cmd_buffer_lookahead_level <= (sdram_bankmachine7_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
if (sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
sdram_bankmachine7_cmd_buffer_lookahead_level <= (sdram_bankmachine7_cmd_buffer_lookahead_level - 1'd1);
end
end
if (sdram_bankmachine7_cmd_buffer_pipe_ce) begin
sdram_bankmachine7_cmd_buffer_valid_n <= sdram_bankmachine7_cmd_buffer_sink_valid;
end
if (sdram_bankmachine7_cmd_buffer_pipe_ce) begin
sdram_bankmachine7_cmd_buffer_first_n <= (sdram_bankmachine7_cmd_buffer_sink_valid & sdram_bankmachine7_cmd_buffer_sink_first);
sdram_bankmachine7_cmd_buffer_last_n <= (sdram_bankmachine7_cmd_buffer_sink_valid & sdram_bankmachine7_cmd_buffer_sink_last);
end
if (sdram_bankmachine7_cmd_buffer_pipe_ce) begin
sdram_bankmachine7_cmd_buffer_source_payload_we <= sdram_bankmachine7_cmd_buffer_sink_payload_we;
sdram_bankmachine7_cmd_buffer_source_payload_addr <= sdram_bankmachine7_cmd_buffer_sink_payload_addr;
end
if (sdram_bankmachine7_wait) begin
if ((~sdram_bankmachine7_done)) begin
sdram_bankmachine7_count <= (sdram_bankmachine7_count - 1'd1);
end
end else begin
sdram_bankmachine7_count <= 3'd5;
end
bankmachine7_state <= bankmachine7_next_state;
if ((~sdram_en0)) begin
sdram_time0 <= 5'd31;
end else begin
if ((~sdram_max_time0)) begin
sdram_time0 <= (sdram_time0 - 1'd1);
end
end
if ((~sdram_en1)) begin
sdram_time1 <= 4'd15;
end else begin
if ((~sdram_max_time1)) begin
sdram_time1 <= (sdram_time1 - 1'd1);
end
end
if (sdram_choose_cmd_ce) begin
case (sdram_choose_cmd_grant)
1'd0: begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end
end
end
end
end
end
end
end
1'd1: begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end
end
end
end
end
end
end
end
2'd2: begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end
end
end
end
end
end
end
end
2'd3: begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end
end
end
end
end
end
end
end
3'd4: begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end
end
end
end
end
end
end
end
3'd5: begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end else begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end
end
end
end
end
end
end
end
3'd6: begin
if (sdram_choose_cmd_request[7]) begin
sdram_choose_cmd_grant <= 3'd7;
end else begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end
end
end
end
end
end
end
end
3'd7: begin
if (sdram_choose_cmd_request[0]) begin
sdram_choose_cmd_grant <= 1'd0;
end else begin
if (sdram_choose_cmd_request[1]) begin
sdram_choose_cmd_grant <= 1'd1;
end else begin
if (sdram_choose_cmd_request[2]) begin
sdram_choose_cmd_grant <= 2'd2;
end else begin
if (sdram_choose_cmd_request[3]) begin
sdram_choose_cmd_grant <= 2'd3;
end else begin
if (sdram_choose_cmd_request[4]) begin
sdram_choose_cmd_grant <= 3'd4;
end else begin
if (sdram_choose_cmd_request[5]) begin
sdram_choose_cmd_grant <= 3'd5;
end else begin
if (sdram_choose_cmd_request[6]) begin
sdram_choose_cmd_grant <= 3'd6;
end
end
end
end
end
end
end
end
endcase
end
if (sdram_choose_req_ce) begin
case (sdram_choose_req_grant)
1'd0: begin
if (sdram_choose_req_request[1]) begin
sdram_choose_req_grant <= 1'd1;
end else begin
if (sdram_choose_req_request[2]) begin
sdram_choose_req_grant <= 2'd2;
end else begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end else begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end
end
end
end
end
end
end
end
1'd1: begin
if (sdram_choose_req_request[2]) begin
sdram_choose_req_grant <= 2'd2;
end else begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end else begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end else begin
if (sdram_choose_req_request[0]) begin
sdram_choose_req_grant <= 1'd0;
end
end
end
end
end
end
end
end
2'd2: begin
if (sdram_choose_req_request[3]) begin
sdram_choose_req_grant <= 2'd3;
end else begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd7;
end else begin
if (sdram_choose_req_request[0]) begin
sdram_choose_req_grant <= 1'd0;
end else begin
if (sdram_choose_req_request[1]) begin
sdram_choose_req_grant <= 1'd1;
end
end
end
end
end
end
end
end
2'd3: begin
if (sdram_choose_req_request[4]) begin
sdram_choose_req_grant <= 3'd4;
end else begin
if (sdram_choose_req_request[5]) begin
sdram_choose_req_grant <= 3'd5;
end else begin
if (sdram_choose_req_request[6]) begin
sdram_choose_req_grant <= 3'd6;
end else begin
if (sdram_choose_req_request[7]) begin
sdram_choose_req_grant <= 3'd
View raw

(Sorry about that, but we can’t show files that are this big right now.)

View raw

(Sorry about that, but we can’t show files that are this big right now.)

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment