まず適当なディレクトリを作っておき、そこにすべてのリポジトリを clone しておく。(<owner>
は対象の owner or org を入れる)
gh search repos --owner=<owner> --archived=false --updated='>=2023-01-01' --json=url -L 500 | jq -r '.[].url' | xargs -P10 -I% git clone %
その後以下の Ruby スクリプトで、言語を推定・集計する。
{ | |
"profiles": [ | |
{ | |
"devices": [ | |
{ | |
"disable_built_in_keyboard_if_exists": false, | |
"identifiers": { | |
"is_keyboard": true, | |
"is_pointing_device": false, | |
"product_id": 4871, |
<?xml version="1.0" encoding="UTF-8"?> | |
<!DOCTYPE plist PUBLIC "-//Apple//DTD PLIST 1.0//EN" "http://www.apple.com/DTDs/PropertyList-1.0.dtd"> | |
<plist version="1.0"> | |
<dict> | |
<key>AdjustWindowForFontSizeChange</key> | |
<true/> | |
<key>AllowClipboardAccess</key> | |
<false/> | |
<key>AnimateDimming</key> | |
<false/> |
AllCops: | |
TargetRubyVersion: 2.4 | |
Layout/MultilineAssignmentLayout: | |
Enabled: true | |
EnforcedStyle: new_line | |
Style/AutoResourceCleanup: | |
Enabled: true |
package main | |
import ( | |
"fmt" | |
"os" | |
"strconv" | |
"strings" | |
"testing" | |
) |
{ | |
"alfredtheme" : { | |
"result" : { | |
"textSpacing" : 4, | |
"subtext" : { | |
"size" : 11, | |
"colorSelected" : "#EBEBEBFF", | |
"font" : "Menlo", | |
"color" : "#929292FF" | |
}, |
{ | |
"ecmaVersion": 6, | |
"libs": [ | |
"browser" | |
], | |
"loadEagerly": [ | |
"./client/app/**/*.js" | |
], | |
"dontLoad": [ | |
"**/node_modules/!(react*|redux)/**/*.js" |
tap 'caskroom/cask' | |
tap 'homebrew/boneyard' | |
tap 'homebrew/bundle' | |
tap 'homebrew/core' | |
tap 'homebrew/dupes' | |
tap 'homebrew/python' | |
tap 'homebrew/science' | |
tap 'neovim/neovim' | |
tap 'osx-cross/avr' | |
tap 'sanemat/font' |
- ー | |
~ 〜 | |
. 。 | |
, 、 | |
;/ ・ | |
;. … | |
;, ‥ | |
;h ← | |
;j ↓ | |
;k ↑ |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.std_logic_unsigned.all; | |
entity calc is | |
generic ( | |
DIV_BITS: integer := 20; | |
SEGMENT_CLEAR: std_logic_vector(7 downto 0) := "11111111"; | |
SEGMENT_0: std_logic_vector(7 downto 0) := "00000011"; | |
SEGMENT_1: std_logic_vector(7 downto 0) := "10011111"; |