Skip to content

Instantly share code, notes, and snippets.

@gregdavill
Created April 14, 2020 13:51
Show Gist options
  • Save gregdavill/574289555f4b57c6c406f2bf029c2463 to your computer and use it in GitHub Desktop.
Save gregdavill/574289555f4b57c6c406f2bf029c2463 to your computer and use it in GitHub Desktop.
This file has been truncated, but you can view the full file.
STATE RESET;
HDR 0;
HIR 0;
TDR 0;
TIR 0;
ENDDR DRPAUSE;
ENDIR IRPAUSE;
STATE IDLE;
SIR 8 TDI (E0);
SDR 32 TDI (00000000)
TDO (41113043)
MASK (FFFFFFFF);
SIR 8 TDI (1C);
SDR 510 TDI (3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF);
// Enter Programming mode
SIR 8 TDI (C6);
SDR 8 TDI (00);
RUNTEST IDLE 2 TCK 1.00E-02 SEC;
// Erase
SIR 8 TDI (0E);
SDR 8 TDI (01);
RUNTEST IDLE 2 TCK 2.0E-1 SEC;
// Read STATUS
SIR 8 TDI (3C);
SDR 32 TDI (00000000)
TDO (00000000)
MASK (0000B000);
// Exit Programming mode
SIR 8 TDI (26);
RUNTEST IDLE 2 TCK 1.00E-02 SEC;
// BYPASS
SIR 8 TDI (FF);
STATE IDLE;
RUNTEST 32 TCK;
RUNTEST 2.00E-2 SEC;
// Enter SPI mode
SIR 8 TDI (3A);
SDR 16 TDI (68FE);
STATE IDLE;
RUNTEST 32 TCK;
RUNTEST 2.00E-2 SEC;
// SPI IO
SDR 8 TDI (D5);
RUNTEST 2.00E-0 SEC;
// CONFIRM FLASH ID
SDR 32 TDI (000000F9)
TDO (18FFFFFF)
MASK (FF000000);
SDR 8 TDI (60);
SDR 32 TDI (0000001B);
RUNTEST 3.0 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF6BDE000000000000000000000000000000000000C8FF414800000000000000000000000000000000
210000FFF24100000000000000000000000000000000022000C8FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FFA38400000000000000000000000000000000000077CC891D60062A22E062288200000040000000
621C00080200000044C20C888200000047000000DC00009A9EFFFFFFFFCDBDFFFFFF00AC1C4C82E24262CAC21CB462AC1CB4
AAACA26232045C2E4E860A00FF00000040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000800040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00100000000000FF81090000000000000000000000001C80002900A40000FFB59300000000000000000000
000000029000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000400040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000290C4000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFA7AB0000000000000000
0000000000000000000880FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF83C90000000000000000000000000006401900640000FFA7AB00000000000000000000000000000000000880FFCB
1100000000000000000000000000C00040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FFE0740000000000000000000000000000000019024000FF0024000000000000000000000000000000000000FF040200
0000000000000000000000000000200040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF7D010000000000000000000000000000000000148420FFFE5400000000000000
000000000000000000004400FF0024000000000000000000000000000000000000FFFFBE0000000000000000000000000000
000000898420FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000A00040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FFE83500000000000000000000000000000000000014FF6BDE000000000000000000000000000000
000000C8FFA7AB00000000000000000000000000000000000880FF0024000000000000000000000000000000000000FF8B15
00310000000000000000000000000000000000FFC4F50006200000000000000000000000000000000840FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000600040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFB48900000000000000
000000000000000000000640E4FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF1B1B00240000000000000000000000000000000000FF6BDE000000000000000000000000000000000000C8FF
1B1B00240000000000000000000000000000000000FF81C6000000000000000000003100000000000211480880FF00240000
0000000000000000000000000000E00040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000CD80FF0024000000000000000000000000000000000000FF703F00
00000000000000000000000000000021148000FF0024000000000000000000000000000000000000FF28E900000000000000
000000C40000000000000000FFDB5200C40000000000000000000000000000000000FF1B1B00240000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFB2B000000000000000
000000000000000000005200FF00100040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF181D00000000000000000003100000000000210C8000FF002400000000000000000000
0000000000000000FF6216000000900040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000000880C8FF7BDB00018C0000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF002400500040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (04200480000000000000FF114E0000000000000000000000000048000000039000FF8C9D00000000000000
0000000000189880620E4C40C9880000FF3A2100000000000000000000000000210000000000FF0024000000000000000000
000000000000000000FFD10B00000000000000000000000000000000002000FF002400000000000000000000000000000000
0000FFD10B00000000000000000000000000000000002000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FFE44000000000000000000000
000000000000000880C8FFE44000D00040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
F98600000000000000000000000062000000000000FF569800000002900000000000E4000000000000000000FF261F000006
20001C8001002402900004201880000000000000FF151D0000000000000000000000000004424004481909000800FF940D00
001880A403900E40188052039000300040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000B00040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF8B0100010000000000000000000000000000000000FF8B0100010000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00700040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000F00040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000080040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000880040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000C40000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000480040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF8EE30000000000000000000000000000000000486400FF45AB000000
0000000000000000000000000064090C8220FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF28E9000000
00000000000000C40000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF28E9000000C80040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FFB081000000000000000000000000000441D0043A00800200FF00240000000000000000000000
00000000000000FF1F4F00000000000000000000000004400000000000FFB29F0000000000000000000000000420A1884123
1C824600800200FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FFCFA20000000000000000000000000000
000029000420FF00240000000000280040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FFA01E0000000000000000000000000002860000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000A80040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000680040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000E80040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF3253000000000000000000000000000000000000A4FF32530000000000
00000000000000000000000000A4FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000180040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000048000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF55170000000000000000
0000048000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000980040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF551700580040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000D80040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000380040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000B80040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000780040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF00240000F80040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000040040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000840040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000440040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FFF98600000000000000000000000062000000000000FF0024000000000000
000000000000000000000000FFF98600000000000000000000000062000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FFFD46000000000000000000000000000000000000E4FF00
24000000000000000000000000000000000000FFDB6100800000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF002400000000000000C40040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF002400000000000000240040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000A40040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00640040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000E40040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000140040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000940040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000540040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000D40040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF5CE5000000000000
0000000031000002860000000000FF0024000000000000000000000000000000000000FFA86F000000000000000000000310
00003A0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FFFD46000000000000000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB6100800000
0000000000000000000000000000340040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000B40040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000740040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000F40040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF00240000008C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF004C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000CC0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000029000FFFD46000000000000000000000000000000000000E4FF0024000000000000000000
000000000000000000FFDB6100800000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
00240000000000000000000000002C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF23D800000000000000000000000000000000012800FF23D80000000000000000000000000000000001
2800FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFC76B000000
000000000000000000000000000032C800FFF32900000000000000000000000000000000008000FF45BE0000000000000000
000000000000000000C800FFBEC700000000000000000000000000E4000000012800FFF32900000000000000000000000000
000000008000FFBEC700000000000000000000000000E4000000012800FF0024000000000000000000000000000000000000
FF568C0000000000000000000000AC0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000012800FF23D800000000000000000000000000000000012800FF23D8000000
00000000000000000000000000012800FF23D800000000000000000000000000000000012800FF23D8000000000000000000
00000000000000012800FF23D800000000000000000000000000000000012800FF23D8000000000000000000000000000000
00012800FF23D800000000000000000000000000000000012800FF23D800000000000000000000000000000000012800FF23
D800000000000000000000000000000000012800FF23D800000000000000000000000000000000012800FF23D80000000000
00000000000000000000000128006C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF23D800000000000000000000000000000000012800FF23D800000000000000000000000000
000000012800FF23D80000000000EC0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF362D0000
0000000000000000000000000000009000FF0024000000000000000000000000000000000000FF7982000000000000000000
00000000000000000800FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FFC6DD00000000000000000000000000000000039000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF00240000001C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF90D200000000000000000000000000000000
03351800FF0024000000000000000000000000000000000000FF90D20000000000000000000000000000000003351800FF66
EE000000000000000000000000000000000002EA1800FF0024000000000000000000000000000000000000FF90D200000000
00000000000000000000000003351800FF0024000000000000000000000000000000000000FF362D00000000000000000000
000000000000009000FF002400009C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
2EF400000000000000000000000000000000031000FF58E50000000000000000000000000000000000062A40FF2BF1000000
00000000000000000000000000000004FFDB310000000000000000000000000000000000C54804FF00240000000000000000
00000000000000000000FF362D00000000000000000000000000000000009000FF0024000000000000000000000000000000
000000FF002400000000000000005C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000DC0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF2EF4
00000000000000000000000000000000031000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF362D00000000000000000000000000000000009000FF00240000000000000000000000000000
00000000FF0024000000000000003C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FFFD46000000
000000000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB6100800000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000BC0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFA86D000000000000
000000000003100C400000000000FF0024000000000000000000000000000000000000FF6662000000000000000000000003
1002400000000000FF00240000007C0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000FC0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00020040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000820040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000420040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000C20040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000220040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000A20040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FFD35E00000000000000000000000008400000000000FF00240000000000000000000000000000
00000000FF1F4F00000000000000000000000004400000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000620040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000E20040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000120040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF002400000000000000920040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000520040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00D20040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000320040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000B20040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000720040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFFD460000000000
00000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB61008000000000000000000000
00000000000000FF002400000000F20040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF002400000A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000008A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
00000000000000000000000000004A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000CA0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000002A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000AA0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF006A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FFFD46000000000000000000000000000000000000E4FF002400000000000000000000000000
0000000000FFDB6100800000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000EA0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF09C700000000000000000000000052000000000000FF00240000000000000000000000000000
00000000FF09C700000000000000000000000052000000000000FF0024000000000000000000000000000000000000FF0024
00000000000000000000000000001A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
00240000000000000000000000009A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF002400000000000000005A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000DA0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF00003A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000BA0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000007A0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000FA0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FFCDC1000000000000000000003200000CA20000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FFFD460000000000000000000000
00000000000000E4FF0024000000000000000000000000000000000000FFDB61008000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000060040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FFFC470000000000000000000052000014
C20000000000FF00240000000000860040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000460040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00C60040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000260040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000A60040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000660040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000E60040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000160040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (BF0000000000000000000000000000000005219000FF0024000000000000000000000000000000000000FF
891600000000000000000000000002100000000000FFDB5C0000000000000000000000000000000003219000FF8916000000
00000000000000000002100000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FFFD46000000000000000000000000000000000000E4FF00240000000000000000000000000000000000
00FFDB6100800000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000960040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF2D4600000000000000000000000000064000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF7A00560040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000D60040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000360040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000B60040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000760040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF00240000F60040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000000E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FFDB6100800000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
00000000000000000000000000008E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FFAA6F000000000000000000000002900C400000000000FF0024000000000000
000000000000000000000000FFAA6F000000000000000000000002900C400000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FFFD46000000000000000000000000000000000000E4FF
00240000000000000000000000004E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000CE0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF00240000000000000000002E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000AE0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024006E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000EE0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
00000000000000000000000000001E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000009E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FFFD4600000000
0000000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB610080000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF00240000000000005E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFD35E0000000000
0000000000000008400000000000FF0024000000000000000000000000000000000000FF1F4F000000000000000000000000
04400000000000FF002400000000DE0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF002400003E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000BE0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
00000000000000000000000000007E0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000FE0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF002400000000000000010040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000810040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00410040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FFFD46000000000000000000000000000000000000E4FF00240000000000000000
00000000000000000000FFDB6100800000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000C10040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000210040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000A10040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000610040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF00240000E10040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000110040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000910040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000510040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF002400000000000000D10040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF69B80000000000000000000000
0072000000000000FF0024000000000000000000000000000000000000FF9A02000000000000000000000000010000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFFD4600000000
0000000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB610080000000000000000000
0000000000000000FF0024000000310040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000B10040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00710040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000F10040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000090040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000890040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000490040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000C90040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000290040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FFFC470000000000000000000052000014C20000000000FF00240000000000000000000000000000
00000000FFD4270000000000000000000052000014A20000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000A90040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000690040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000E90040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000190040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF002400990040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000590040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000D90040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000390040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000001204800FF8B9000000000000000000000000000900000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FFFD46000000000000000000000000
000000000000E4FF0024000000000000000000000000000000000000FFDB6100800000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000B90040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FFC504000000000000000000
0000000000000006204800FF0024000000000000000000000000000000000000FF0814000000000000000000000000031000
00000000FFF4B600000000000000790040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000F90040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF00240000050040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000850040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000450040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000C50040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF002400000000000000250040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000A50040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF6F9400
0000000000000000000003900A400000000000FF0024000000000000000000000000000000000000FF724E00000000000000
0000000000080A400000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FFFD46000000000000000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB
6100800000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00650040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF002400E50040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000150040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000950040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000550040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000D50040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF00240000350040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000B50040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FFDB6100800000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000750040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FFD35E00000000000000000000000008400000000000FF00240000000000
00000000000000000000000000FF1F4F00000000000000000000000004400000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FFFD46000000000000000000000000000000000000E4FF
0024000000000000000000000000F50040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF002400000000000000000000000D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000008D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF00240000004D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00CD0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000002D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000AD0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF002400000000000000006D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FFFD460000
00000000000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB61008000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000ED0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF002400001D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000009D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
00000000000000000000000000005D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000DD0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000003D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000BD0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF007D0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000FD0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF99F900000000000000000000000042000000000000FF00240000000000000000000000
00000000000000FF99F900000000000000000000000042000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FFFD46000000000000000000000000000000000000E4FF002400000000
0000000000000000000000000000FFDB6100800000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000030040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000830040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000430040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000C30040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000230040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000A30040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000630040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000E30040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000130040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FFF4E50000000000000000000072
00001CC20000000000FF0024000000000000000000000000000000000000FF984A0000000000000000000039000006060000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFFD460000
00000000000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB61008000000000000000
00000000000000000000FF002400930040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000530040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00D30040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000330040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000B30040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000730040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000F30040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF00000B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FFFD46000000000000000000000000000000000000E4FF00240000000000000000000000000000
00000000FFDB6100800000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
00000000000000000000000000008B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF96E10000000000000000000000000000000004210800FF002400000000000000000000
0000000000000000FFFE2D00000000000000000000000005200000000000FF157A0000000000000000000000000000000002
208800FF382400000000000000000000000003200000000000FF0024000000000000000000000000000000000000FF002400
00000000000000000000000000004B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000CB0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000002B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000AB0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF002400000000006B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF002400EB0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
00000000000000000000000000001B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
00000000000000000000000000009B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF4FFC0000000000000000000000021000200000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FFFD4600000000000000000000000000000000
0000E4FF0024000000000000000000000000000000000000FFDB6100800000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000005B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FFA993000000000000000000000002100E4000000000
00FF002400000000000000000000DB0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF002400000000000000003B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000BB0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF00007B0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000FB0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000070040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000870040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000470040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFD3
5E00000000000000000000000008400000000000FF0024000000000000000000000000000000000000FF1F4F000000000000
00000000000004400000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF002400C70040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00270040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000A70040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000670040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000E70040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000170040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000970040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000570040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FFDB6100800000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000D70040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FFFD46000000000000000000000000000000000000
E4FF002400000000000000000000370040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF002400000000000000B70040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000770040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00F70040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
00240000000000000000000000008F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF002400000000000000004F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000CF0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
FD46000000000000000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB610080000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF00002F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFF9
8600000000000000000000000062000000000000FF0024000000000000000000000000000000000000FFF986000000000000
0000000000006200000000000000AF0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
00000000000000000000000000006F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000EF0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF00240000000000000000001F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF002400000000009F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024005F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000DF0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
00000000000000000000000000003F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FFA9CB000000000000000000002100001CE20000000000FF002400000000000000000000
0000000000000000FF8D44000000000000000000001100001C920000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FFFD46000000000000000000000000000000000000E4FF00240000
00000000000000000000000000000000FFDB6100800000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000BF0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
00240000000000000000000000007F0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000FF0040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 32 TDI (0000801B);
RUNTEST 3.0 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000008040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000808040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000408040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000C08040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000208040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000A08040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF7AD40000000000
000000000000000000000000108800FF0024000000000000000000000000000000000000FFFE2D0000000000000000000000
0005200000000000FF7AD40000000000000000000000000000000000108800FFFE2D00000000000000000000000005200000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFFD460000
00000000000000000000000000000000E4FF0024000000000000000000000000000000000000FFDB61008000000000000000
00000000000000000000FF002400608040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000E08040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF002400108040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000908040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000508040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000D08040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000308040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF00240000B08040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FFDB6100800000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000708040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF6C680000000000000000000000031008400000000000FF00240000000000000000000000000000000000
00FFA59F0000000000000000000000009004400000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000F08040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000088040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000888040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000488040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000C88040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000288040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000A88040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000688040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF1F4F00000000000000000000000004400000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FFDB6100800000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000E88040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FFD35E000000000000000000000000084000
00000000FF002400000000000000188040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000988040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000588040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000D88040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000388040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000B88040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000788040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF002400F88040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FFDB6100800000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000048040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000848040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000448040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF002400000000000000C48040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000248040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00A48040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000648040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000E48040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FFDB6100800000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000148040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF09C700000000000000000000000052000000000000
FF0024000000000000000000000000000000000000FFA94600000000000000000000000032000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000948040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000548040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00D48040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000348040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000B48040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000748040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000F48040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF00000C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A20000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
00000000000000000000000000008C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FFFCB80000000000000000000062000014C20000000000FF0024000000000000000000000000000000000000FFD4D800
00000000000000000062000014004C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000CC8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF00240000000000000000002C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000AC8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024006C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000EC8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
00000000000000000000000000001C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000009C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FFBC2A00000000000000000000000007200000000000FF852B000000000000
0000000000000000000003208800FFCB1100000000000000000000000000100000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FFDB6100800000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF00240000000000005C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF24C800000000000000000000000000000000
05208800FF002400000000000000DC8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF002400000000003C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF002400BC8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
00000000000000000000000000007C8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000FC8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000028040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000828040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF00240000428040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF6C6800000000000000
00000000031008400000000000FF0024000000000000000000000000000000000000FF6C6800000000000000000000000310
08400000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FFDB61008000000000
0000000000000000000000000000C28040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00228040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000A28040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000628040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000E28040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000128040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000928040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
0000000000000000000000000000528040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FFDB6100800000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
2400000000000000000000000000D28040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FFD35E00000000000000000000000008400000000000FF002400000000000000000000
0000000000000000FF1F4F00000000000000000000000004400000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000328040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000B28040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000728040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000F28040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
00000000000000000000000000000A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000008A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF00240000000000000000004A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF00240000000000CA8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FFDB610080000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024002A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000AA8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
00000000000000000000000000006A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000EA8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000001A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF00240000009A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF005A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000DA8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
00240000000000000000000000003A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF09C700000000000000000000000052000000000000FF00240000000000000000000000000000
00000000FFA94600000000000000000000000032000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FFDB6100800000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000BA8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000007A8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000FA8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF00068040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
0000000000000000000000000000868040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000468040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF00240000000000000000C68040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF002400000000268040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FFDB61008000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00
24000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0000A68040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF344E00000000000000000000520000
1CC20000000000FF0024000000000000000000000000000000000000FF58E100000000000000000000190000060600000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000668040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000
0000000000000000000000000000E68040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024
0000000000000000000000000000168040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF002400000000000000000000968040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF0024000000000000568040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF00240000D68040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000368040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000B68040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000007200000000000FF0024000000000000000000000000000000000000FF00240000000000000000
00000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000000000
0000FFDB6100800000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000768040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF852B0000000000000000000000000000000003208800FF00240000000000000000000000
00000000000000FFBC2A00000000000000000000000007200000000000FF852B000000000000000000000000000000000320
8800FFBC2A000000000000000000F68040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000000000
00FF0024000000000000000000000E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF00240000000000008E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
0000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000
000000000000000000FF002400004E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000
0000000000000000000000000000CE8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400
00000000000000000000000000002E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000
0000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000
FF00240000000000000000000000AE8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000
000000000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000
00000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FF0024000000000000000000000000000000000000FF0024000000000000
000000000000000000000000FF0024000000000000000000000000000000000000FF00240000000000000000000000000000
00000000FF0024000000000000006E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000025440000000000000FF320E000000000000000000000032880C400000000000FF00240000000000
00000000000000000000000000FF79BA0000000000000000000000010802400000000000FF041F0000000000000000000000
0840000000000000FF84690000000000000000000000008580000000000000FF002400000000000000000000000000000000
0000FF0024000000000000000000000000000000000000FFDB6100800000000000000000000000000000000000FF00240000
00000000000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF0024000000EE8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF8C1800000000000000000000002170C0000000000000FF58830000000000000000000000011B40
000000000000FF180300000000000000000000000140000000000000FF180300000000000000000000000140000000000000
FF180300000000000000000000000140000000000000FF180300000000000000000000000140000000000000FF1803000000
00000000000000000140000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000
0000000000000000FF57970000000000000000000000011440000000000000FF002400000000000000000000000000000000
0000FF936D0000000000000000001E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF0024000000000000000000000000000000000000FF73F60000000000
000000000000039620000000000000FF180300000000000000000000000140000000000000FF495800000000000000000000
00002920000000000000FF549D0000000000000000000000039140000000000000FF18030000000000000000000000014000
0000000000FF180300000000000000000000000140000000000000FF180300000000000000000000000140000000000000FF
180300000000000000000000000140000000000000FF746A00000000000000000000000074C0000000000000FF1803000000
00000000000000000140000000009E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000120000000000000FF4A7A0000000000000000000000010980000000000000FF00240000000000
00000000000000000000000000FF0024000000000000000000000000000000000000FF002400000000000000000000000000
0000000000FF69D70000000000000000000000072240000000000000FF0024000000000000000000000000000000000000FF
0024000000000000000000000000000000000000FFCBEE00000000000000000000000C40000000000000FF32530000000000
00000000000000000000000000A4FF0024000000000000000000000000000000000000FF0024000000000000000000000000
000000000000FF002400000000005E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF475C00000000000000000000003900000000000000FF1367000000000000000000000001
5040000000000000FF1C0700000000000000000000000240000000000000FFDAFF0000000000000000000000008000000000
0000FFBE84000000000000000000000003A0000000000000FF0024000000000000000000000000000000000000FFDBB60000
0000000000000000003D2860000000000000FF0024000000000000000000000000000000000000FFB8820000000000000000
0000000120000000000000FF0024000000000000000000000000000000000000FF0024000000000000000000000000000000
000000FFB8820000000000000000DE8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000009790000000000000FF7571000000000000000000000004A0000000000000FF5851
0000000000000000000000E58B20000000000000FF0024000000000000000000000000000000000000FF7F7B000000000000
00000000000320000000000000FF7571000000000000000000000004A0000000000000FFDAFF000000000000000000000000
80000000000000FF7F7B00000000000000000000000320000000000000FF2AC800000000000000000000001598C000000000
0000FF0024000000000000000000000000000000000000FF13670000000000000000000000015040000000000000FF012F00
00000000000000000000157240003E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FFF4A100000000000000000000000994000000000000A4FF6EA80000000000000000000000018C
A0000000000000FF7571000000000000000000000004A0000000000000FF7571000000000000000000000004A00000000000
00FF7571000000000000000000000004A0000000000000FF7571000000000000000000000004A0000000000000FF75710000
00000000000000000004A0000000000000FF7571000000000000000000000004A0000000000000FF5FDB0000000000000000
000000E58CA0000000000000FFA946000000000000000000000012F222000000000000FFF7F6000000000000000000000000
9422000000000000FF8641000000BE8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (002CA0000000000000FF151200000000000000000000000028000000000000A4FF41860000000000000000
00000016D760000000000000FF151200000000000000000000000028000000000000A4FF4186000000000000000000000016
D760000000000000FFC192000000000000000000000000CBA860000000000000FF0CD50000000000000000000000002CA000
0000000000FFA65F00000000000000000000000CD760000000000000FF777300000000000000000000000520000000000000
FF7571000000000000000000000004A0000000000000FF18FC000000000000000000000000A4000000000000A4FF75710000
00000000000000000004A00000007E8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000004A0000000000000FF6FA90000000000000000000000014CA0000000000000FF0CD50000
000000000000000000002CA0000000000000FF180300000000000000000000000140000000000000FF0CD500000000000000
00000000002CA0000000000000FF95620000000000000000000000031140000000000000FF18030000000000000000000000
0140000000000000FF0CD50000000000000000000000002CA0000000000000FF180300000000000000000000000140000000
000000FF3DA60000000000000000000000E42CA0000000000000FF81690000000000000000000000243140000000000000FF
0CD5000000000000000000000000FE8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF2D9D0000000000000000000000009408400000000000FF00240000000000000000000000000000000000
00FFA9C4000000000000000000000018A860000000000000FFD3F600000000000000000000000640000000000000FF002400
0000000000000000000000000000000000FF5487000000000000000000000002A6D760000000000000FF0024000000000000
000000000000000000000000FF042C000000000000000000000002AE4BAA60000000000000FF4EA500000000000000000000
0002BED560000000000000FF0024000000000000000000000000000000000000FF538E000000000000000000000002A0D660
000000000000FF75710000000000018040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000FF1C0700000000000000000000000240000000000000FF6EA80000000000
000000000000018CA0000000000000FF0024000000000000000000000000000000000000FF75710000000000000000000000
04A0000000000000FF7571000000000000000000000004A0000000000000FF6EA80000000000000000000000018CA0000000
000000FFF6B20000000000000000000000005660000000000000FF0024000000000000000000000000000000000000FF7175
000000000000000000000007A0000000000000FFDDDC000000000000000000000000A408400000000000FF00240000000000
0000000000000000000000000000818040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF7571000000000000000000000004A0000000000000FF1F880000000000000000000000011C4000000000
0000FF7571000000000000000000000004A0000000000000FF3253000000000000000000000000000000000000A4FF757100
0000000000000000000004A0000000000000FFB59300000000000000000000000048000000000000A4FF7571000000000000
000000000004A0000000000000FFFB2700000000000000000000001918C0000000000000FF75710000000000000000000000
04A0000000000000FF4A330000000000000000000000143A40000000000000FFDBDA000000000000000000000001674CA000
0000000000FF0024000000000000418040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF7571000000000000000000000004A0000000000000FF002400000000
0000000000000000000000000000FF7571000000000000000000000004A0000000000000FF1C070000000000000000000000
0240000000000000FF6EA80000000000000000000000018CA0000000000000FF325300000000000000000000000000000000
0000A4FF7571000000000000000000000004A0000000000000FF3253000000000000000000000000000000000000A4FF7571
000000000000000000000004A0000000000000FF0024000000000000000000000000000000000000FF737200000000000000
000000000214A000000000000000C18040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0024000000000000000000000000000000000000FF72BC00000000000000000000000052600000
00000000FF6B790000000000000000000000048560000000000000FFAA270000000000000000000000018820000000000000
FFAF2B0000000000000000000000018D20000000000000FF7571000000000000000000000004A0000000000000FFDFB40000
0000000000000000000013AF60000000000000FF7571000000000000000000000004A0000000000000FF0024000000000000
000000000000000000000000FFC54F00000000000000000000001C8B60000000000000FF0024000000000000000000000000
000000000000FF00240000000000218040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000FFAADF0000000000000000000000008B20000000000000FF35AE0000000000000000
000000422D20000000000000FF0024000000000000000000000000000000000000FFF29A0000000000000000000000049CA0
000000000000FFBABB000000000000000000000004D460000000000000FF0024000000000000000000000000000000000000
FF928700000000000000000000000025D460000000000000FF67150000000000000000000000022BA860000000000000FF1C
0700000000000000000000000240000000000000FFB4B50000000000000000000000005460000000000000FFAA5200000000
0000000000000002CCA000000000A18040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000E5D760000000000000FF180300000000000000000000000140000000000000FF71B6
000000000000000000000002D760000000000000FF001B00000000000000000000000B40000000000000FF18030000000000
0000000000000140000000000000FF4B0200000000000000000000000E3CA0000000000000FFCB5900000000000000000000
00016820000000000000FF0FD60000000000000000000000016CA0000000000000FF7571000000000000000000000004A000
0000000000FF777600000000000000000000000114A0000000000000FF351F0000000000000000000000061D200000000000
00FF002400000000000000000000618040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000002BED760000000000000FFC0930000000000000000000000000BA860000000000000FF75
71000000000000000000000004A0000000000000FFC74B00000000000000000000000130D760000000000000FF1803000000
00000000000000000140000000000000FF7A2B000000000000000000000012EC9CA0000000000000FF151200000000000000
000000000028000000000000A4FF2D7B00000000000000000000000C9CA0000000000000FF74B00000000000000000000000
1A3A8C000000000000A4FF0CD50000000000000000000000002CA0000000000000FFC192000000000000000000000000CBA8
60000000000000FF908500000000E18040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF7571000000000000000000000004A0000000000000FF0024000000000000000000000000
000000000000FFC3E600000000000000000000000A40000000000000FF7571000000000000000000000004A0000000000000
FF0024000000000000000000000000000000000000FF7571000000000000000000000004A0000000000000FF002400000000
0000000000000000000000000000FF6DAB000000000000000000000000CCA0000000000000FF325300000000000000000000
0000000000000000A4FF928E000000000000000000000002A0D760000000000000FFD42A00000000000000000000000AF000
0000000000A4FF8CA60000000000118040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000000000000FF0024000000000000000000000000000000000000FFF8FF002000
0000000000000000000CD760000000000000FF0024000000000000000000000000000000000000FFCE810000000000000000
0000000007AA60000000000000FF35B70000000000000000000000005560000000000000FF00240000000000000000000000
00000000000000FF077D000000000000000000000014D660000000000000FF6FA90000000000000000000000014CA0000000
000000FF7571000000000000000000000004A0000000000000FF6FA90000000000000000000000014CA0000000000000FF00
2400000000000000000000000000918040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FFAA6C0000000000000000000000528CA0000000000000FF7571000000000000000000000004A00000
00000000FF7571000000000000000000000004A0000000000000FFDD9600000000000000000000000328D260000000000000
FFCBEE00000000000000000000000C40000000000000FFA9A90000000000000000000000028FA0000000000000FFCD560000
000000000000000000002D20000000000000FFDC750000000000000000000000001C40000000000000FFF49C000000000000
0000000000061CA0000000000000FF0024000000000000000000000000000000000000FF2910000000000000000000000053
8C20000000000000FF0024000000518040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000A4FF0CD50000000000000000000000002CA0000000000000FF15120000000000000000000000
0028000000000000A4FFE88000000000000000000000000F1CA0000000000000FF5099000000000000000000000000914000
0000000000FF0CD50000000000000000000000002CA0000000000000FF3B6F0000000000000000000000002B100000000000
00FF4BAC0000000000000000000000622CA0000000000000FFCB9800000000000000000000001968C0000000000000FF1CC7
00000000000000000000000E1B10000000000000FF0CD50000000000000000000000002CA0000000000000FF180300000000
0000000000000001400000000000D18040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00002809000000000000FFD0D10000000000000000000000059409000000000000FF151200000000000000
000000000028000000000000A4FF0CD50000000000000000000000002CA0000000000000FF15120000000000000000000000
0028000000000000A4FF0CD50000000000000000000000002CA0000000000000FFD634000000000000000000000000280E40
0000000000FFAF570000000000000000000000010CA0000000000000FFAF570000000000000000000000010CA00000000000
00FF180300000000000000000000000140000000000000FF2797000000000000000000000005940E400000000000FF151200
0000000000000000000000280000318040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000004261D20000000000000FF7571000000000000000000000004A0000000000000FF4C19000000000000
000000000023138760000000000000FF4126000000000000000000000007228B60000000000000FF489E0000000000000000
00000022B140000000000000FF31C10000000000000000000000010EC38B60000000000000FFB87B00000000000000000000
000078C0000000000000FF180300000000000000000000000140000000000000FF0CD50000000000000000000000002CA000
0000000000FF180300000000000000000000000140000000000000FF0CD50000000000000000000000002CA0000000000000
FF21720000000000000000000000B18040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF67EE0000000000000000000000002780000000000000FFC9E20000000000000000000000
6B25D460000000000000FF81F700000000000000000000001CA22BA860000000000000FF3A5500000000000000000000000E
643240000000000000FF57680000000000000000000000195460000000000000FF0ED7000000000000000000000001ACA000
0000000000FFFFAA0000000000000000000000343040000000000000FF147300000000000000000000000222D26000000000
0000FF679D00000000000000000000001CE3518560000000000000FF978D00000000000000000000004D78E0600000000000
00FF67FA00000000000000000000718040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00E4EB8B60000000000000FF4A5B0000000000000000000000016920000000000000FFC507000000000000
000000000002A360000000000000FFC3010000000000000000000000002360000000000000FF757100000000000000000000
0004A0000000000000FF775D00000000000000000000000E4E3D20000000000000FF00240000000000000000000000000000
00000000FFAADF0000000000000000000000008B20000000000000FF6ED40000000000000000000000010D20000000000000
FFDAFF00000000000000000000000080000000000000FFA1CE000000000000000000000019EC9CA0000000000000FFBABB00
0000000000000000000004D46000F18040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A0000000000000FFB49200000000000000000000000088000000000000A4FF0B0D00000000000000000000
0000249CA0000000000000FF88C60000000000000000000000056A3A8C000000000000A4FFAD550000000000000000000000
008CA0000000000000FF05AE000000000000000000000002AE4BA860000000000000FF7BE400000000000000000000000427
D5D760000000000000FF180300000000000000000000000140000000000000FF462100000000000000000000003C62D76000
0000000000FF001B00000000000000000000000B40000000000000FFF018000000000000000000000000F140000000000000
FF73BC0000000000000000000000098040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000FF180300000000000000000000000140000000000000FF0FD6000000000000000000000001
6CA0000000000000FFD5EC00000000000000000000000068000000000000A4FFD089000000000000000000000002A2D76000
0000000000FF2E2900000000000000000000001368000000000000A4FF949E00000000000000000000002BC5D76000000000
0000FFBC980000000000000000000000021EC3A860000000000000FFAF570000000000000000000000010CA0000000000000
FF6BAC0000000000000000000000095760000000000000FF873700000000000000000000002924C0000000000000FF1C4B00
0000000000000000000002341C00898040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (40000000000000FF119B00000000000000000000000528D660000000000000FFD3F3000000000000000000
00000274A0000000000000FFEF61000000000000000000000002174CA0000000000000FF5A27000000000000000000000019
326C90000000000000FF228D000000000000000000000002C460000000000000FF4BCE000000000000000000000005961000
0000000000FF12BD000000000000000000000016C460000000000000FFD93400000000000000000000000545D00000000000
00FF0CD50000000000000000000000002CA0000000000000FF180300000000000000000000000140000000000000FF0CD500
00000000000000000000002CA000498040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000290CA50A00000000000FF0024000000000000000000000000000000000000FFC9A1000000000000
000000000002504A0A200000000000FFA94600000000000000000000000032000000000000FF83A000000000000000000000
000018800000000000FFD3F600000000000000000000000640000000000000FF002400000000000000000000000000000000
0000FFECA00040000000000000000000002B41D760000000000000FF051E00000000000000000000000880000000000000FF
8CA4000000000000000000000002AEC3AA60000000000000FF778200000000000000000000006345D560000000000000FFD3
F600000000000000000000000600C98040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (AC84A23C200000000000FF0D940000000000000000000000010254200000000000FF6BEE00000000000000
000000000120E652200000000000FF48D4000000000000000000000000C6504250A00000000000FFD8D70000000000000000
000000004A0A200000000000FF08C400000000000000000000000E528250A00000000000FF75710000000000000000000000
04A0000000000000FF766C0000000000000000000000128394200000000000FF3A6500000000000000000000001481922000
00000000FF3666000000000000000000000000630290400000000000FFA19800000000000000000000001900960A20000000
0000FF139A000000000000000000298040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000026504A48A00000000000FFC708000000000000000000000000043608A000000000
00FF3190000000000000000000000004A314A078A00000000000FFC895000000000000000000000006204A140000000000A4
FF9961000000000000000000000025003E0A200000000000FFC895000000000000000000000006204A140000000000A4FF0D
8C00000000000000000000000120F801F0A00000000000FFB3AC00000000000000000000000198E650A00000000000FF1D6A
00000000000000000000000005E1B24254200000000000FF24C800000000000000000000000004A23C200000000000FF4C53
0000000000000000000000025C00A98040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000059404200000000000FF13A700000000000000000000000045000C200000000000FF853100000000
0000000000000001C39404200000000000FF842B00000000000000000000003860C794200000000000FF413A000000000000
000000000000459404200000000000FFB5FA00000000000000000000000500840000000000A4FF05FD000000000000000000
0000059404200000000000FF151200000000000000000000000028000000000000A4FF8531000000000000000000000001C3
9404200000000000FFE5B10000000000000000000000014317223C200000000000FFD3A40000000000000000000000008650
E54C200000000000FF25A8000000698040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000001CC243B20B802CD0600000000000FFEAA200000000000000000000003990C0AFC00000000000FF
62DE0000000000000000000000004690E790A00000000000FF40EB0000000000000000000000004A864D82E238938C200000
000000FFEF8000000000000000000000000314EC00200000000000FF20D100000000000000000000000858B2811420000000
0000FFC3E20000000000000000000000025368D1013198200000000000FFD65F0000000000000000000000018716C438C28C
200000000000FF284500000000000000000000000068C0000000000000FFF06400000000000000000000000070C000000000
0000FF05FD000000000000000000E98040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000A6522250AC00000000000FFC01500000000000000000000000C6A3066A750C000
00000000FF89A300000000000000000000000620C448200000000000FFF81D0000000000000000000000005460CD47482000
00000000FF956E0000000000000000000000000BA860805D4344C00000000000FFAFE300000000000000000000000148E400
0000000000FF715400000000000000000000002A300AEA35400000000000FFB23D000000000000000000000000565041C8A0
0000000000FFB8BA0000000000000000000000004800400000000000FF6C2A0000000000000000000000014B30244C200000
000000FF645C0000000000000000198040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (12800000000000FF74D500000000000000000000000C65E45E42383ECB100000000000FFA9840000000000
0000000000000290510D800000000000FF37B700000000000000000000000250A25C400000000000FF6EFD00000000000000
0000000025020258900000000000FF2282000000000000000000000007329080800000000000FFCFF8000000000000000000
00000029D2440E3C800000000000FFC7FD00000000000000000000001C8049800000000000FF40CA00000000000000000000
0019230885800000000000FF4F0D00000000000000000000000290A732800000000000FFB9E0000000000000000000000012
009818200000000000FFEF8E0000998040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A732800000000000FFF30800000000000000000000000005E4950B040000000000A4FFDFE3000000000000
000000000000CE50A252400000000000FF7D5900000000000000000000002A0D46144A080000000000A4FF8E5D0000000000
00000000000004A50A040F800000000000FF1AA3000000000000000000000055C1750C298CA298200000000000FF10AF0000
00000000000000000002315D761452A8200000000000FF66F2000000000000000000000000142694400000000000FF5A6E00
000000000000000000000295761452A8200000000000FF05EE000000000000000000000041D90514800000000000FF347E00
0000000000000000000000960500598040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000001C804A47C800000000000FF17280000000000000000000000199421000000000000FF2B1600
000000000000000000000087900000000000A4FF1BCA00000000000000000000000576A8221751800000000000FF1F6A0000
000000000000000000000230E00000000000A4FFA80300000000000000000000000CD76A825B51800000000000FF63AE0000
000000000000000000000BA8699844D432200000000000FF47D00000000000000000000000128422200000000000FF3F8500
00000000000000000000005764C2324D800000000000FF1D76000000000000000000000000502D800000000000FF4F150000
000000000000000000014CA87800D98040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B8A00000000000FF70BB00000000000000000000005328020CC00000000000FF2B9B000000000000000000
000000940E400000000000FF239D000000000000000000000000A6504C24200000000000FF6B130000000000000000000000
01888487100000000000FFFD820000000000000000000000025240A4200000000000FF3D67000000000000000000000010B2
061C84900000000000FF30F00000000000000000000000004C24200000000000FF184A00000000000000000000000095B04C
3C800000000000FF40FF00000000000000000000002250C187000000000000FF99F900000000000000000000000128387C80
0000000000FF0810000000000000398040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000001480250A0F916429C920000000000FF5907000000000000000000000000020000
00000000FF311F0000000000000000000000314200400000000000FF047000000000000000000000000C8045C00000000000
FFD94600000000000000000000000002400000000000FF8FD60080000000000000000000002BB0A9A0A00000000000FF0024
000000000000000000000000000000000000FFA568000000000000000000000000BAA6157140BC200000000000FFEC700000
00000000000000000000556093448A200000000000FFDC4A00000000000000000000000007400000000000FFA36900000000
00000000000000014D660EF84600B98040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C90000000000000000000000004BC8B2A1A70B17100000000000FF368C000000000000000000000000283E
68D1B0A00000000000FF4B4700000000000000000000000C5285950CA078A00000000000FF75710000000000000000000000
04A0000000000000FFD92E000000000000000000000006328061000000000000FFF4DE0000000000000000000000014A28CA
4594200000000000FF5DFB000000000000000000000000211C800000000000FF1B17000000000000000000000000A5922078
A881E31A200000000000FF5A1C0000000000000000000001480290B28507D09CE20000000000FFD3F6000000000000000000
00000640000000000000FF8DF500798040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000A4FF279A0000000000000000000000031CA1652545C00000000000FF99710000000000000000
000000018B90B2F296AB440000000000A4FF92BF000000000000000000000006328595883F8C200000000000FFD106000000
0000000000000000148A2D8CD22812001580000000FFF3CA000000000000000000000000B78CA165420F8504120015800000
00FF3B3B00000000000000000000000C459508BEA190E00000000000FF75880000000000000000000000638CB48A1D830CA8
98A00000000000FF525C00000000000000000000000120D942CA14900000000000FFDDE70000000000000000000000062C45
9429A661E0600000000000FFCD00F98040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000001C340EE81E840000000000A4FF445A0000000000000000000000004A14159420
0000000000FFE9250000000000000000000000000282CA140000000000A4FF6DFE0000000000000000000000018CA1468E50
A00000000000FF9A0800000000000000000000001E8A10E0FD2288610000000000FF00A9000000000000000000000000950A
0E1650A00000000000FFEF2F00000000000000000000000C719463147F07B0A00000000000FF175A00000000000000000000
000048C8B2F24C37EA200000000000FFA76000000000000000000000000128546C07D0841F030000000000FF3ABB00000000
0000000000000006205949791400058040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000250A22A400000000000FF5A610000000000000000000000012ACC540ED1C4623C20
0000000000FF54A5000000000000000000000000E45B0B03866E1C345C200000000000FF602A000000000000000000000006
20A0A4200000000000FF4BDD000000000000000000000000179424200000000000FFC856000000000000000000000018CA14
8694200000000000FF57DE00000000000000000000000024144694200000000000FFBF180000000000000000000000129C30
22D20C200000000000FF6B7E0000000000000000000000188282B20C200000000000FF5C7A0000000000000000000000004A
143594200000000000FF29510000858040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FFE6D200000000000000000000000A8D5F6A3328800000000000FF15D5000000000000000000
000000AE505103D1CB6658A00000000000FF6C610000000000000000000000024099992E400000000000FF76380000000000
0000000000000E49365B304F0D3259A00000000000FF920D0000000000000000000000009F0A313C261A168A200000000000
FFA6DB0000000000000000000000343F796CF918287C200000000000FF2D5F000000000000000000000000A449C8645988C0
0000000000FF130A000000000000000000000003195B350CC9B3A0C00000000000FFDACE000000000000000000000008E1D8
1218200000000000FF1141000000458040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000320C3A0E4200000000000FF149B0000000000000000000000005449994592200000000000FF
A75200000000000000000000000434D261C845B4200000000000FF130A000000000000000000000002B024A0725D33C00000
000000FF77380000000000000000000000094882B208A00000000000FF83DB00000000000000000000000C6A357DA8CAF660
7A400000000000FF3E0700000000000000000000000C42105DA3C00000000000FFEC76000000000000000000000000CD46AF
B51AB4BA48200000000000FF6A5700000000000000000000000005D43D5C1750E530CC12400000000000FFDA170000000000
0000000000000072C7908EC0C000C58040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (200000000000FF0C8E000000000000000000000002BB84C552F6D36658A00000000000FF63F70000000000
00000000000003242C5628CB11D0600000000000FFE5DE000000000000000000000003134C9A2D800000000000FF56420000
0000000000000000001883E70F93964FC309C2190000000000FF0602000000000000000000000000141654400000000000FF
0AE40000000000000000000000004A141650480000000000FF81CB000000000000000000000000942926DEA3880000000000
FF7F390000000000000000000000112B6A41E41D800000000000FFBD9F00000000000000000000000C4173BCB24C1E442900
00000000FFAE4000000000000000258040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (BB4703236D76EC200000000000FF2C440000000000000000000000052C45722D207C200000000000FFA5D0
000000000000000000000012D228331654200000000000FF9399000000000000000000000000A2CD0BA4C1840000000000A4
FF04A8000000000000000000000005329A37228B689C200000000000FF55F20000000000000000000000026A354D11949C0C
0000000000A4FFD55E000000000000000000000012F2E1B1141F60600000000000FFD20F0000000000000000000000002EA1
AAE281703E59E0600000000000FF6AD20000000000000000000000012EBB48C5236D77AC200000000000FF8E250000000000
00000000000001C85E5D44EDB400A58040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000002F1D82E181EC0E54200000000000FF61960000000000000000000000061822A1
3C49B2200000000000FF811A0000000000000000000000199428334BAA200000000000FF42FB000000000000000000000000
1A372971340000000000A4FF279A000000000000000000000015DAA69C366BB0A00000000000FF5E65000000000000000000
00000320ACBA944F840000000000A4FFF57000000000000000000000000CD76A9B2B2CD7665C200000000000FF763E000000
00000000000000000E54332CD530BAB708A00000000000FF464A00000000000000000000000950B94BC8A00000000000FF2F
0100000000000000000000000200658040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (668A2CF3AD5688A00000000000FF8AE50000000000000000000000129954E1C0E514C00000000000FFB904
00000000000000000000005328A608219A50200000000000FF251200000000000000000000000094290B28B8A00000000000
FFC68400000000000000000000000002CA150B32600000000000FF481C0000000000000000000000011BE4511736D1361000
00000000FFC5EA00000000000000000000001482A98655A00000000000FF10A600000000000000000000000838D10B8AE985
100000000000FF15B40000000000000000000000004D8597169F54200000000000FF315600000000000000000000001C8567
1E1E54200000000000FF6E4B0000E58040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000FFCCC3000000000000000000000008E200400000000000FFD275000000000000000000000000
0148240000000000FF8F020000000000000000000000014804000000000000FFCBC9000000000000000000000000025A8703
9115C00000000000FFFF6F010000000000000000000000576AD06CABAC5688A00000000000FF48C300000000000000000000
000110000000000000FF1D76000000000000000000000001CAA6ABB8EAFAA6CB8B6A3C200000000000FF1619000000000000
000000000000CD5615D2755A57AD5669D0600000000000FF0D1C00000000000000000000000064A4061A400000000000FFA5
0D00000000000000000000000500158040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FF28460000000000000000000000085C346D08C989464000000000FF0676000000000000000000
00007328520E838289464000000000FFA7460000000000000000000000009412800000000000FFDFFF000000000000000000
0000129492800000000000FF9C9D00000000000000000000000A48B2859D400000000000FF4AFD0000000000000000000000
00073C830805C10000000000FFE665000000000000000000000000648B20A3EA2884B20000000000FF92C500000000000000
000000000012648B285950C24A0000000000FFEDE00000000000000000000000000008720000000000FF5DEB000000000000
0000000000250A0785642A203E00958040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (56750000000000000000000000018A1361D8F0B28662894000000000A4FF2BCB0000000000000000000000
014CA179E45971844A0000000000FFE971000000000000000000000000022A43149FC6112D200200000000FF04FE00000000
000000000000000007C2B0E1652218D4B2043600000000FFF6BB000000000000000000000000C3A1B41F222984A41C800000
00FF5D9A000000000000000000000000994161D925B3864314520000000000FF6C25000000000000000000000000858ACC22
82B4520000000000FF8D96000000000000000000000003234643C30CAC8A2584A41C80000000FF7237000000000000000000
0000014E1FA4532307E9144A0000558040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A86522250A0000012800A4FF4E8D0000000000000000000000094283E16522250A0000012800A4FF201F00
00000000000000000000070E3B14D079543444A150801200094000FF52D80000000000000000000000008F28A62A36141F22
E528500000094000FFCD5D000000000000000000000002D8588E23141F445C49610000000000FFC216000000000000000000
00000A62725A345970E50A0328440090000000FFD24C00000000000000000000000632D2282C37218B8B195103181C820000
00A4FF01B400000000000000000000000065C7E71E9E542C53194000000000A4FF0DD3000000000000000000000003194D1C
B88B0DE062894000000000A4FF00D58040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (28500000094000FF622900000000000000000000000C416543C8514A100000094000FF86F3000000000000
000000000000C650B2850514A100000086C000FF657E000000000000000000000000D1CA48E50A2920E0E4021C8841288800
FFEE0B00000000000000000000000C650B28507C11426942000001290800FFED7A0000000000000000000000005941165026
530E0E4E408E442086C000FFEB020000000000000000000000004A70E54328250A000200094000FFA08E0000000000000000
0000000310507CD183E44C650A0000012800A4FF9A48000000000000000000000000942CA16522250A0000012800A4FFCEE2
0000000000000000000000002C00358040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000903C5732F2FF4C312C2B44C48F22000003164000FFA5CB0000000000000000000000
084C10FD636CD33E50819A260000000000FF0B410000000000000000000000011A6C881E02100000064000FF8E0B00000000
000000000000000C651DC0703951012000000C8000FF466A00000000000000000000000033A76C84A8D8100000000000FF1A
06000000000000000000000000942CA87199E83128500000094000FFD399000000000000000000000000016AE505A311E438
4CB118A0000002B94000FF190B00000000000000000000001C8B6258BAD94E2CA4A21328500000094000FF6A800000000000
000000000000000283E08B291100B58040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D58DC157D88D10CD460000000000FF5488000000000000000000000062320920A248020000000000FF699E
000000000000000000000003351AB1192AFB13EA08CD460000000000FF87900000000000000000000000002EA1EAE4987898
6E23A2E4819A860000000000FFCF9F000000000000000000000009E441D8C248C40000000000FFDBCB000000000000000000
000000A8D504157D88E830CD060000000000FF2735000000000000000000000000CCA0B57282D1B24B461328500000094000
FF643A000000000000000000000000926189440F04920000000000FF870100000000000000000000002930B2C289CB438A33
28500000094000FF6DFD00000000758040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000001292C3D2898B4859416F90000000000FF05A500000000000000000000000094471CCA024A00
00000000FF019B0000000000000000000000252933B04A0000000000FF4526000000000000000000000018926094ECE27081
E48D96227F200000048000FFB93D000000000000000000000012364080110000000000FFC37B000000000000000000000019
22C88742C8B2320000000000FF18550000000000000000000000014D961E50A2DA1949610000000000FF0219000000000000
0000000000004618249904240000000000FF7BD50000000000000000000000014CAD590A85C462CA320000000000FF8A0900
000000000000000000000063A800F58040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000006EA1AAECBAB6ABB2E25E660CA05D5B55C0000001750C000FFB52B0000000000000000000000
00AEBB578BA9C942E3BAACD94C93E65820000019A8C000FF4FB800000000000000000000000024140F43A85958CA00000000
00FF987E000000000000000000000015DABC5D47CA1791D062CA0BEDBD40000019A8C000FFA45D0000000000000000000000
0190567178513C459416F10000000000FF91100000000000000000000000005A4916503C5949790000000000FF7493000000
00000000000000003107CE182E160F38F2B107C00000188000FFD78E000000000000000000000000722D3C9A3183E6CA4A00
00000000FFBC6D000000000000000D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (345D9750C0BAB60000000000FF7CDC000000000000000000000001285642D2144A0000000000FFD22D0000
000000000000000000015DA1A4CBB63B76DAECCD760000000000FF823700000000000000000000000020F6D248A85F22CA52
0000000000FF050D000000000000000000000029944B16D31B2B22CA4A0000000000FF0A6E00000000000000000000000002
D208A858594A4000000000A4FF1596000000000000000000000002994D1D2165045949410000000000FFFD0E000000000000
000000000004D46AF1759ABC5C56A9B299AED504000003351800A4FF2BE20000000000000000000000253872A262CA4A4A00
00000000FFFC34000000000000008D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF5837000000000000000000000000A1C2CB0A289C2289400000190000FF20AA0000000000000000000000
001F66DC3948D2144A0000000000FF6B0900000000000000000000000313C60F85A50816C00000188000FF2E8E0000000000
0000000000000641F0A2D85A59844A0000000000FF0CEF0000000000000000000000061E90B488E2894000000000A4FF5096
00000000000000000000002BB578B34D5E2FB346DA8CCD760000000000FFD4CC000000000000000000000005A15851228940
00000000A4FF21BF00000000000000000000000CD76AF1745ABC5C66AF175199AEC000000000A4FF17920000000000000000
0000000005D4355D87D51765D4004D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24600000000000FF982E0000000000000000000000029A8D5A2C5BD6DAAD10CC560000000000FF83870000
000000000000000000332B9C44753C82360000000000FF0D56000000000000000000000004A224A2584A0000000000FF35F1
000000000000000000000000942B30C34B2280401080000000FF992C0000000000000000000000000ACD30D308A000000000
00FF56BA0000000000000000000000250ACB30D2144A0000000000FF40C0000000000000000000000001CA86159861A51144
360000000000FFE83B000000000000000000000000E4E183F0A34B2880021000188000FFC82F000000000000000000000000
4A1652249C288C40000019000000CD8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00004652328594282B21408A0440000000FF39F400000000000000000000000000212080043900000000FF
DC23000000000000000000000000631248084CA40C40000000FFD1F600000000000000000000000007A6400000000000FFEA
9800000000000000000000002903CE388138420000000000FFE7F300400000000000000000000015DABC5937A8B5598628CC
560000000000FF7A93000000000000000000000000C5C98E200000000000FFAE39000000000000000000000072ABAAED9AA6
8BA2EADA278180B9B60000000000FF7CD10000000000000000000000155AB45D16AEB55EB418CD560000000000FFB51B0000
000000000000000000066972C4002D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (48D21470D08A0A0140000000FF1C780000000000000000000000016554D93A449D2919A3260A0A01400000
00FFE21E00000000000000000000000094004A0000000000FFC2A4000000000000000000000004328004CA0000000000FFAE
45000000000000000000000004690ADD07C892E08B2479220440000000FFBBAE000000000000000000000003388210309090
8184188318000000FFA9310000000000000000000000061B215A2C8C6E9D689A222CD28A94408B90000000FFC31300000000
00000000000000001693874C470CA1448C1F42420140000000FF3F2000000000000000000000000C8010CF00072008000000
FF94C30000000000000000000000AD8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000D19116591D939428C9CBA8A84504E62364C0000000FF7FBC00000000000000000000
00074E528728546C4734465A2F5C54182E4DDC2971C4000000FF2D9F0000000000000000000000002B151C9B84C5942828A2
0F89308E89482988000000FFAE7E000000000000000000000003572A46EC36B49B0A2A224A288C885824000000FF82420000
000000000000000000032632C516C2A3614D7922220140000000FFB4360000000000000000000000002844CD744171AF8742
2CA88AC45390308318000000FF898B000000000000000000000003A7292B14E1D51324C505941141401140000000FF076900
0000000000000000000000505A006D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B44994000000FF5AF0000000000000000000000004328523C63C0E584C5050CA84CC21CC38B904000000FF
5403000000000000000000000001C3446D381ECC30D85322CB418BC850750B4412000000FF60BD0000000000000000000000
0A0F8564A9CB48BCF228B615C8A00BA878EA000000A4FF6DBB00000000000000000000000048BC9133D53C0D3FA4D0546C28
280308000000A4FFEF040000000000000000000000188B296756CE1A374E07C8594282802A18000000A4FFF35E0000000000
0000000000001AB199417145F261059428114108000000A4FF4660000000000000000000000000C9CA64E530C507F114149B
0A0A0140000000FFA6AE00000000ED8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000001450C1F2328507C283E140022CA0000000FFD1BC00000000000000000000000163
1654348C649CA16530C546C28005C39448000000FF21BA00000000000000000000000C8A25232D22CA1650A24880B28C8000
00A4FF2D3F00000000000000000000000594A48CA143B445942800B28C800000A4FF100000000000000000000000000288CA
4650B285942800B294800000A4FF9E0D0000000000000000000000001653B4828CA16538728500165134800000A4FFB42B00
00000000000000000000002891655072987942CB08AA40165A52E2000000FF179700000000000000000000000420F8544E92
3C1F0B282ED18BE840415364D1001D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8064D46CA4000000FFA7970000000000000000000000696D1A20F574FAED2CA464DD06D18208A64185F570
D898CC000000FFC9790000000000000000000000061C5B54DA716D43A0CD386117E53145BD1C518E00B2A9A4000000FF815A
0000000000000000000000005022CA0A34A1942CA1400594A4000000FF087C000000000000000000000044DC302648CA165C
6184E81C859464000000FF470B000000000000000000000005958D20A3285950861810059464000000FF2C04000000000000
00000000000028948CA141F420F8288034728964000000FF2FEA000000000000000000000000D1CAC4176B2B228768652288
C880459464000000FFE2810000009D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (648125326184C0412180000000FFC640000000000000000000000000A49EB978768B2E9D85950F16586112
0A4516C8EC000000FF1EC100000000000000000000001CA868C1E30C0F0CE83675B0C0F19607916A1C8C342C64000000FF0D
A20000000000000000000000A2D81D3629E303981C24E0619A238509828300CC000000FF708E000000000000000000000023
4A1A42B25E981CCA1F48802225B9C4000000FFA7B30000000000000000000000018937C824867193647907688918214B2099
04000000FFBC9600000000000000000000000011C3B73118A78C2081DA58432C0188000000FFB34500000000000000000000
0016346548ED945869432A2286005D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4EA33261EACD475582559A8F535055751B20000000FF129000000000000000000000000138B909C41B908A
81382A7240000000FF23C9000000000000000000000002A0D464981E8EBED47558232D9C8D535042AB88C0000000FFCD2C00
00000000000000000000010705D43CCC33C8F13A723C0C95C3750E073130520248000000FF699E0000000000000000000000
0E4E427C79C7131E79C79E5C920049E588000000FFFEA70000000000000000000000066A8D165123D9A0DD0CC46484820880
000000FFFD8E0000000000000000000000E55962769C5B81DD17E9365A1DA366871589801745904994000000FF53FA000000
00000000000000000C4C94264400DD8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3A00526C000000FF1A170000000000000000000000012805C88C7926CC380E424594000000FF5D51000000
0000000000000000023A2711AB282ED32193CA103C8F2458B90A642CC364000000FFF7470000000000000000000000012C89
18E18508C3942890002E08000000FFCF3500000000000000000000000065AB20BA2C91087648E24439459C5164000000FF3A
46000000000000000000000000A6258A29A1942A1151B0A08504348594000000FF2DCD00000000000000000000000219B432
4990F109024A230513800248000000FF31A600000000000000000000002505C879791650A1AC911100B29164000000FFD03B
00000000000000000000000159003D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5082CA1408A027B2EAD9A394000000FF1E82000000000000000000000051D5DEACBB28EB4DAB6D4657DB91
8D05032F2AD8EC000000FF425D0000000000000000000000062C847152BF5107C2F21798450500B28594000000FF36AC0000
0000000000000000000350464E1326830CC686936258A0A06BB2E6D8526C000000FF915A00000000000000000000001883E7
0C1712CC305CE1F2141F727C857497270E545C326C000000FFDC770000000000000000000000007F10B291A508C6141610A0
65F9B64594000000FF4A47000000000000000000000011B0B29144F1141610B88016564594000000FFA0BF00000000000000
0000000000EC0668404DF36A4600BD8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5800000000000000000000000E490DA3A6CC3C0E50BCA1949C0C1431770D1B4229800000A4FFB985000000
00000000000000005433941764E5A5194282CA141409B63B30F2800000A4FFAA62000000000000000000000018AA34450ECA
D7EAE1753ABC5D7E478A0A01655A4D800000A4FF566F0000000000000000000000000F9C32ECD311E509C5022CA138A0A013
B47298716C000000FFEF46000000000000000000000045C1750D5763D1B50C9756D5765D4355D9736D531790A108B29D756C
000000FF1E6A00000000000000000000000290AEBB54D6545977ADB519976C76498A0A1498A5DAD26C000000FF51F5000000
00000000000000000142F05D19007D8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000B448B0D85F0B3A8B2F22CA0C3140AF65D1B0AC800000A4FF44D1000000000000000000000000B6BB
578B26F942EAB54D955E2EBB54D422872CBA36AF175D800000A4FFEF28000000000000000000000000C3A8689F2695A28BA3
6238B2AA6CB8B6AB80B8A0143B55D9752C000000FF3729000000000000000000000010CA144B861942CA1650A05C500A2D85
94000000FF23340000000000000000000000057642E56A6D856B85E76A9A0A014BB578BAEC000000FFE38300000000000000
000000000175B03D196184849B4224C501B2A1A4000000FFAA4E00000000000000000000000CCA659B29868CB61B8AC61942
8271415B61B0B2800000A4FFDC00FD8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000111652272D22B28650CD0720E0500B29194000000FFA84A00000000000000000000000445
52C98974C2CC2B1CA29C3280A149B28D64000000FFC26A0000000000000000000001C850ED9155227EA30CA42E50A0A0C9B2
8D94000000FFFCC10000000000000000000000030F8A146C8382CA64E1B4412100B28564000000FFE0AE0000000000000000
000000016CA41E530E105942CA14A9C20ADB0D8594000000FF70EA00000000000000000000000390A421D81E642CA151B1CC
203650AC800000A4FF6E8200000000000000000000000016BB570F26C5366AB54D955E2E3B54D63082A62976AF175D800000
A4FFCF3F00000000000000000000038040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D40A99D568BAAC000000FFFB780000000000000000000000053A505F9C5433B884A140010594000000FF77
2C0000000000000000000000532E2CC2C820824126F5158820AB208594000000FF807200000000000000000000003268D859
469D145B0A320A240B2B5CCC000000FF225B00000000000000000000000C382CB8C38859711508B0C22818F26C88000000FF
24B500000000000000000000005A4DC3098182CA61E1B21C530F11610B69B0B4E2000000FFF5550000000000000000000000
30E0A16489921650B2844544059428000000FF6EC000000000000000000000000291865C456543845B26139327115881656B
9592000000FF5AB9000000000000838040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (002AC820000000FF82DB00000000000000000000000A4507CB03120880015348000000FF5F080080000000
000000000000005683AED5E2D1B21ED955E2EBB578B8AD2BA0CA9A014BB7683AAC000000FF43310000000000000000000000
1C9489022184020620000000FF7F120000000000000000000000055D8754D5764E5350D1316D5775D5B55D9756C4714AB8A0
843353D8756C000000FF29260000000000000000000000051755BA47AA61FB45EBAD5657EB15934195443925D0B568BAAC00
0000FF22720000000000000000000000320CA0E8A121322102BC1784C8000000FFF3900000000000000000000000015B46B3
24E194CD265FB55EACC5619D0600438040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFB14500000000000000000000000095150E922C8C91211E8C9164000000FF1835000000000000000000
00000290C613C83890800C40000000FF0E65000000000000000000000062CF341B28A2C8C3671459222226B3AC9D64000000
FF7BA7000000000000000000000000A4282E50E90ADC3942CA1414C650B28594000000FF2B09000000000000000000000000
47850850C120024240000000FF24CD00000000000000000000000C69232A1948CA159220A190B29D64000000FF09DA000000
000000000000000014180C36400000000000FFF8C40000000000000000000000072092249039072C40000000FF6648000000
00000000000000000090CD881100C38040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000128268764A329539428C968933246525994000000FFE40D00000000000000000000
00122587949443B28729A3052862864EC360000000FFEFB70000000000000000000000004B13F650B21E5250B2B242629490
B33E50BC82000000FF147A000000000000000000000000B4C378A872919594A88B285E611432EA328594000000FF8A510000
00000000000000000000A2F28A68CA093285050512A66C3CA0000000FF36B8000000000000000000000000E654329116E94B
08AA4C4512AD9494000000FF66830000000000000000000000004A00250A000594000000FF5C180000000000000000000000
00C6501700942F20E40594000000238040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000003A2107C0F50D9C0C50FC2CA211452865347C800000A4FFE2C200000000000000000000
0028694168E5134822CA8658453308A42CA68E50400000A4FF789B00000000000000000000000005E386E9C690B291B95110
84CA0B470D85000000A4FFCDD80000000000000000000000004B94C3CA051F452316D0CA150C2293878D8594000000FF72A6
0000000000000000000000018796434A44E54329CD30A147E61E5C45E4000000FFB7BE0000000000000000000000018CA2B8
60FC263CA8650B2EA28C3824460FD8728594000000FF6F61000000000000000000000003974C5B1872A194D1CA89D3CAD334
F28A32ADE4000000FFAE4A000000A38040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00A4FF95C400000000000000000000000282B2324A1650144A1650A4200000A4FFCB380000000000000000
000000002CA140F62C928594282894E1CA1654200000A4FFE0790000000000000000000000038632728507E1441F3472D205
73CA7E16524521000000FFEF0E0000000000000000000000012396170D1B045941465045950714844A1650B2A1000000FFF2
720000000000000000000000004A849B04595116505C3946828A4150E548C594000000FF2C4A000000000000000000000052
4328546C2CA165148A033054346C28000000FF9EAA0000000000000000000000025850B950B21650B2A262F20BC6E44744D0
CA88B2800000A4FFBFC500000000638040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7CE1CA865E45051D00B9C39405000000FF7DC500000000000000000000001C3942CA165432850186290B28
0F85000000FF17E20000000000000000000000051A307C1165061942CA088482E68E504511000000FFE5DD00000000000000
0000000005942CA68E52972859429A8CD21650B291000000FF53C50000000000000000000000001408B29C39461D2865040A
1938728511000000FF0A54000000000000000000000001650A0F85948D242C7B045051285945165051000000FFFB04000000
0000000000000000002F270E90B482C82E44E5393260672822CA08A2200000A4FF76FC000000000000000000000002CA1690
B494B03942828942CA1652200000E38040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (02ECA2EB3CA207A1000000FF856C000000000000000000000000994E589914CA35082320433454316542C1
000000FFA41D00000000000000000000000013876EF283E4F21C203C83B0A003B2610B29CE000000FF701700000000000000
000000000A8DAD16CCDD3649A1DB368050285940EC000000FFB8A2000000000000000000000088C54A6D5A3627B664DFBD1C
BEF560C8E2B03140AC2B0459412623A2C1000000FF128700000000000000000000000061C5B84596170E5B30E18FC2876179
E450879662A36141F261000000FF601900000000000000000000000386347285950CA1650143268E50BCA1000000FFC65D00
00000000000000000000051C3000138040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000A8F566A345922CD47AB311920B50CB566A377DA8C000000FF6829000000000000000000000006
B4C4751CBCB4F2C8ED1B20F4685E068811409E5C519613759045000000FF868D00000000000000000000000009214C948FD2
51192F489C1261F20248000000FF35960000000000000000000000016330B59A381927A8B28516E114EC2322985954316547
03000000FF6FDA00000000000000000000000E4EA1F4EACC464B81E30C0F5A607928F24411D0FF4B323F930D9251000000FF
8EAC000000000000000000000002529C3619A265F219D2648188F1D0C90C1239804904000000FFCB14000000000000000000
00000E8ED2850C2CA1F494488A00938040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4987130104A389204902000000FF932400000000000000000000000A696B0D8586E474650AC910502581E2
CACEAC85000000FF3AAE0000000000000000000000004D46AD2EA3D1625F265B351ABEE46198254100F90E93D6A32CE13A8C
000000FFBE7B000000000000000000000000482772C4012603361C0E4990000000FFBCAE000000000000000000000000AEA3
5412BEBA47B351ABEC464825411986A366A3741A9C000000FF2095000000000000000000000002EA1E261BA86A5C27A1EAE1
BA87A9C6E61C8E6A608495305D43D1C2F51C000000FF7A8F0000000000000000000000009E58810272C7945C86281C63E588
000000FF84670000000000000000538040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000014CB748B2D22D30A55A2150C512A0EC9CA85950D1000000FF5D0B000000000000
000000000000951114460405E544C547102208216550CC400000FF575F000000000000000000000004A8802844A1400A4594
000000FFBB980000000000000000000000057C41749385D43A4129C0F461648F289C2A7103C29C2462F0A1880000FFDF1100
000000000000000000000005C84086514C8202201FC4000000FF82D5000000000000000000000000E48B24445922C9104886
5145922C91000000FF36510000000000000000000000014C22CA41E90B285956828950CB48B2A1000000FFE3870000000000
00000000000000249861392E8000D38040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A0C346DAAD5E2EBBAACD000000FF5CCA000000000000000000000000C6D458ECF1B24A165014516159B291
05000000FF1C9A00000000000000000000000FE0D774B1E25A2C7F3643DA6D9976C46198221C5014EDAADD06ABA2C5000000
FF5BF3000000000000000000000042C92361D9E45943381C5B90CD8591588A08FAC22CA44E9C45000000FF8DAB0000000000
00000000000000526966298A1991942CA880B8A5248AE2B2C8AE51000000FFF88700000000000000000000001883F0B860FA
0EE38AFCB8E0F8586C2F348A67103CE3AB6441F220480000FF756100000000000000000000000C8B285A511650A44C50512C
A2876E61322C400000FF4A730000338040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4CC50AC2CA4A200000A4FF4E500000000000000000000000034C50EF121CB32504526E909146613E45669D
413A200000A4FF49AA0000000000000000000000014CBC8B482F081165432A22981834EA31416584C5000000FFC02D000000
000000000000000000A835182D5D3741A5657DAED5C2E3B241517098D2CDB51BB41D4688A00000A4FFC25B00000000000000
0000000001292D1B3C8B492C383FCC3285210179413690B291000000FFAF12000000000000000000000055C1750F1E4F554F
9734D5385D5F55D1756CD7133C0CA45B459754D5725D43D5D1000000FF87BE0000000000000000000000008B35FA3C5A3755
B961DD26C937ADB5DA466F295800B38040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (76449B0CC245940500F16DC3D265AC200000A4FF5DDB00000000000000000000000335DBBC5CAEA36D927C
5D46AF179DAA6953403CBB0A4D96781D6665E0600000A4FF55EA000000000000000000000001CA86A3B0F2A67898768382EA
1A27CBAB6238A99A09355934CD57E543C703000000FFF2710000000000000000000000004A165E5139405942828A55361C5B
2274A1000000FF1C9B000000000000000000000015D85A5163E5335DAB6C76999AD45198CD6D16EF175DA1000000FF264600
000000000000000000000520B2E22E974A51A8DA2622844C6B34A245C5000000FF2DC30000000000000000000000004AA5F1
75D81E8D2A19B28596915C8A2900738040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (291342C4890A65E92E1CB4A0F485000000FF0948000000000000000000000000165845A429138650143216
543485000000FFFE8A00000000000000000000000129C386CC9D2C444E50B8853967970E543485000000FF62D90000000000
000000000000061C8650165C442A52A46F1CACA1F4A1000000FFCF9F0000000000000000000000019954BE1C13A0E2DA8668
2CA88A42726DA662CACC34A1000000FF4AB70000000000000000000000038691D285A174920B2D22112B974EC89D20BC2000
00A4FFB34300000000000000000000002BBA58F50C93859C6DA8D5E2EBB24D2B818CCBCB272BB81D66EC200000A4FF120F00
0000000000000000000019336900F38040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (095CB1079A45128500065434F2000000FF1FA700000000000000000000002552C1C59E52350C104E46E914
138E714CD28594000000FF64E80000000000000000000000002D99397941E5D0C0A314450C922A76869A6D000000FF78D900
00000000000000000000000546C93851B0A0A0A8856296161E543485000000FF8A690000000000000000000000007616530D
1395161C61E1B0AA4512F2C987686903C5000000FF14520000000000000000000000000B28570CA4A20B288B2289507E2E1B
227485000000FFE8C600000000000000000000000064C9BE6DA6C050829288AC4CA462A36869E593000000FFB8AE00000000
00000000000000004A16546D94000B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E71A4003930C0910000000FF563604000000000000000000000159B526D1B650A578B8AC9A0CA9A02ECADA
AC2BA0EAB54D000000FF31AC0000000000000000000000001CB912088138153072000000FFDD740000000000000000000000
00BAA6A3B2EADAA789A6E838A55D9756CC714AB8A15B551756CD761D5B55C5000000FF0F4300000000000000000000000055
6ED1755987AD5649D46568BAAC2BA0DAA23385B5AAD5A2EAB551000000FF3086000000000000000000000002964018CC0C80
012921000000FF605C0000000000000000000000012D474EA499276D38C2D50B8ADDA0DAC23265F2CD56AD1755AA000000FF
F4B30000000000000000000000008B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF7B5500000000000000000000000149CA104208486900000000FF39A600000000000000000000000096
2881FC90E079111648F245922CAF712C88000000FF2BF000000000000000000000000084C2CA149364505942F28650B285A4
28000000FF70E900000000000000000000000C492408208390400CC460000000FF8D030000000000000000000000290B2859
4282B24416538728C39428000000FFA3AD0000000000000000000000022312048D200048E410000000FFBFF7000000000000
000000000001200000829720000000FF8F8D00000000000000000000003252E0704E87430423A8149330C8000000FF16C000
00000000000000000000000C69004B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000001A3A4C89FA48A0AE85E79141F38728548C28000000FF9599000000000000000000
00000C69450CD0B68E107C50595102CA64C3B727ADF228000000FFBE5D0000000000000000000000250B4C46EB8531416564
55062CA16554546C28000000FF434900000000000000000000000148A2DD22CA14154364572283E70C5B13E1C428000000FF
1A0100000000000000000000000094C3A3788B2850522222CA1654610428000000FF9C0D0000000000000000000000025001
285004A0000000FF1C1D00000000000000000000001283904A14081883E0000000FFF48B00000000000000000000000011A4
A48864888CA4596683A508000000CB8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00253874F22CA150C30D85E48CA16532E2D907000000A4FFB7E10000000000000000000000003163C5325A
7942F28650AC3B28566C6F365061000000A4FF0CC70000000000000000000000013CA6CC1714C595071486512C511942CB4B
472D2898000000FFF1550000000000000000000000001695A1D852485CACB9232E22CA8B278DE308000000FF461000000000
00000000000000025A4507E942C3B04524D1CBA8A18C5942C36439B0D92628000000FFD35600000000000000000000000161
B86146C17B416585CAD94CB8B788A2DA0E28000000FFDEE5000000000000000000000018CA61C17A6103CC98E98193949145
B25C305628000000FFF0710000002B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8470E50A386250B294800000A4FFA3820000000000000000000000000E18A78AA60AC4595130914061B32E
2D81A4000000FF2E2E0000000000000000000000129A3A61348F10BC85942883B14A13BC72E2A4000000FF256E0000000000
000000000000004B4B261D9A307E568E130F0D85143942CAD9DB8DE07818000000FF27FA000000000000000000000000E4CB
0E2DB22CA1468E5D425C307C9361B1A4DD2838000000FFCDAA00000000000000000000000129D3971B45B0B0E2F1CA17930F
29E307FD70C3B48D43000000A4FF490500000000000000000000000C459417161B47C342C3CA0283E1653AE1D94B000000A4
FFE5470000000000000000000000AB8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000094D1D284141402807C2894000000FF7AC50000000000000000000000008A0FA1E4282CA7208A63
29A39494000000FF91A50000000000000000000000250B49A3050594282F2C41F0B28294000000FFB4370000000000000000
0000003934749A3052194E48F24A70E50463A4000000FF83CD00000000000000000000000129C394168C1414328511128594
A4000000FF39DC0000000000000000000000005A428C390CA0898CA08A0F94800000A4FF0348000000000000000000000012
85A5082B1C0E50A22250B294800000A4FF3A09000000000000000000000000B280A0B280A250B294800000A4FF2787000000
00000000000000000094282E72006B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF76A3000000000000000000000003186CA710A29825328A6292390F9471058CA0000000FF561F0000
000000000000000000006346D288702424A158AA4620D70DD248000000FFE59B000000000000000000000001CCA48B4A5768
28214881426503D876216C000000FFD18700000000000000000000000005A3A41B40F0EA0DA36666BD34137038A8B2940000
00FF94C8000000000000000000000000E65259705D2F69E10A0B2855462A1661B84D179494000000FF1BC500000000000000
0000000001234728505059405129239494000000FFDB1C0000000000000000000000620B4830F214238A0A0A6329C3E49400
0000FF99CB000000000000000000EB8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000A8D5F5AB2562311ECD46198212D9A8D5F6A3D7D88D50000000FFE2FE0000000000
00000000000002B94C3A1723B46051487D1B20A2045E58CB21BA8D8294000000FF7469000000000000000000000000049C41
09D23040496265860802E408000000FF631100000000000000000000000024D6876C0C48A88594531419A46043396916942F
D16C000000FF1E8D0000000000000000000000002703C6181EA192683EB41F235C0F23CC28A101F8D4ECE264000000FF0EA7
0000000000000000000000009388391B0728349C082B2E1434A321A9C3E9A4000000FFCB8800000000000000000000000A48
FA24C44324444665A683458800001B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000002409207948C10125459038C409308248000000FF3DC7000000000000000000
0000299405642CA150B22220B2859428000000FF0DD1000000000000000000000002A357DA8D59ACA8257D88C904AB351ABE
D46AFB13AA08000000FF005400000000000000000000000188840988922093005F8F20000000FF227D000000000000000000
00000546AFB51ABED46A8257D88C3305AB351ABED46AFB51AA08000000FF07190000000000000000000000002EA1AAE0BE3A
986EA1EAE6261BE3A390382EA1EAE1BA878182EA1AA608000000FFD10B00000000000000000000000A7CB1E4E4E58A0E8B9C
4131E58988000000FF49970000009B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (859B28542282B14161B238A104000000FF1133000000000000000000000000323161CDBA4516C284691416
1B679A48B8000000FFB6B400000000000000000000000944B0C8D11CCA1610914F22DBB098000000FF4DEF00000000000000
0000000000194227C8A61134A49A4204A0000000FFC9E900000000000000000000000634824BB11966C826188E859851E461
94F0986079D3E588000000FF62DF0000000000000000000000001C618452898944490A1A484D08000000FFC8670000000000
000000000000001640664389645922CF289244922C916488000000FFDECF000000000000000000000004BCA143285942876E
8A0A0B29079428000000FF1919005B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (750C000000FFCF780000000000000000000000000AEBB541443388E50B4D576C76959A8AFC31ABAFC874AF
3B075A8C000000FFDDB7000000000000000000000005639423874822A3602F208B2A1D4F18000000FF20E200000000000000
000000002AB541050B44999B4EB6D464820451ABAECDBCAE2306DA0C000000FF208C000000000000000000000000CD2A511D
072EAD1D389AA45286282CB8C28985C4000000FFEEAE0000000000000000000000003A88B285942CA880B8A0B29195080000
00FF91540000000000000000000000620FD38F274C1FE5C307C2C36179C5C309D170C1F1A607A47A18000000FF923D000000
0000000000000000014E2122CA00DB8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (AA2F0000000000000000000000003964E10A1D8D9452682CA238BA9228000000FFF08A0000000000000000
0000000532812F90ECC3CA151B44C5050597516505E1000000A4FF9E430000000000000000000000001212C105942CA02714
1650ACA1000000A4FFADAA000000000000000000000004A0942CA849B42C50228D1CA68E5045000000A4FF9B400000000000
000000000000000AEA357DA8D148CA9A0FD5785C7648223DA32DAEEABE2EAB5B51800000A4FFBE5500000000000000000000
0004A15F11911C59581A361508A0B2859508000000FF6A8500000000000000000000000009D4355C1750D3306555C5955745
C5B53CD4C51B756D572DC4B051003B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (416564287C89942A26C8B2800000A4FF89E1000000000000000000000001598529689A36AF1751ABC5C769
19A57032BED76B6330B2800000A4FF48740000000000000000000000002B2B49B0A4930936C8344A618A5074800000A4FF18
67000000000000000000000000CD661590A1DABC5D46AF175D86669534AFB5D927C5C6653A32800000A4FF94840000000000
0000000000000005D430B2A6E2C86ABA2F0A268B8B663948A55C1756C0F65D4348C5A4000000FF9B67000000000000000000
00000A42CA0BB0F28505942828949151364594000000FFFF8000000000000000000000000057611465578B26CAFB63B24D3C
7095E2EBB5B1D92628000000FF00BB8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9C6D26D9E650A0B28458719979D713224D81000000FF3550000000000000000000000002905C3122CBCDB4
18518F444B63D1E719487843366D94000000FF211F00000000000000000000000A428348A0A845849E4144B0C309A1940000
00FFFDCC0000000000000000000000293860A085422CAA410A2520F48594000000FF04A50000000000000000000000290587
0594114C9B2AD1A3E58937CC348594000000FFD8B000000000000000000000000002F218B4B30CA140B8A4DE221058A368A2
899194000000FF99BE00000000000000000000001485A5141C2B0A0A8DA2290894CF16948E8394000000FF17E20000000000
0000000000005348504E89A0E1007B8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000521129688216439134D2097818000000FFBB14000000000000000000000019AA
D5A3C9344D344C895A2E2B26836B08CD578DB55B5AAC000000FFFF5700000000000000000000000A4C71A51B05C0A8DC2280
09D4B294000000FF09800000000000000000000000250103F924C302CAC8A09C1AA548C194000000FF821F00000000000000
000000000A42A3630E50A0A210A081979A45365194000000FF1F6500000000000000000000000A56CAA4D1B3260AC59752C9
94CB0A30989394000000FF3A1400000000000000000000000A42CA29FA4DF2C3C2159B2452862C24A469228DF2000000FFDD
8800000000000000000000000200FB8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (41C101988C000000FFD79D0000000000000000000000000BA10C4010D2841720000000FF4C260000000000
0000000000000C810911C9023081894B00F0000000FF22B30000000000000000000000072A1A98400072CB10000000FFAB0F
0080000000000000000000002AF578B975F0E556832B45C5615D0654D19AEF164EAB46DAAC000000FF78ED00000000000000
0000000001882702039241E58A9190000000FFA734000000000000000000000000E55B55D970CD53C0E5B55C52AECB8B6A38
A55C505756E1C1D5B851756C000000FF473C000000000000000000000000CD56AD17AAB4185755D06568BAAD5A0F9D5119AA
D1A2EAB29F5AAC000000FF9EF600078040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FE32000000000000000000000000000B28500094000000FFC2970000000000000000000000000905942821
A43194000000FF603400000000000000000000000C822C911164890936CD07478C91A4000000FFB8E9000000000000000000
0000004205202221A41720000000FFEDC0000000000000000000000000320BA2B191648B2459224516541FA0A2C8C3640000
00FF74790000000000000000000000004A8470C1F0B285942829B650E90B2AD84C000000FFBBA20000000000000000000000
00318790400000C4000000FFCA72000000000000000000000004A4470C1F232916488287670E50AC9194000000FF91CD0000
0000000000000000000009000000878040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF5B3100000000000000000000000094C9A116541C552C283E73AB262DC22B24D329C394000000FF5F2C
0000000000000000000000004A0B8B65A2D9394840586C184484EC8228000000FF745A0000000000000000000000004A02CA
78E504C4542E1A378A0EEA2E9428000000FFBCCD0000000000000000000000039948E3A18A3DF22926149B42C44D9357D966
9126858594000000FFE10400000000000000000000000948811653474822CA1508B2E2A51346544D94000000FF0696000000
0000000000000000025420B2822A2642298A3868DD3C90FCB472E0EE18000000FFFD60000000000000000000000004A84143
B0B480165338859428000000FF00478040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000025C52350C5361D1FA4E194F193614B65C46316E172F0B2872800000A4FF6915000000000000
0000000000095082CA438B285943C3949711593872800000A4FFC7A2000000000000000000000025E5C82F1CBC8B285942A1
48E50B4D2E181E08B2800000A4FFD7A2000000000000000000000002588C24594E1876165143C3285A451590B2800000A4FF
24510000000000000000000000004B88AC459513143F0B2852194881E48B29C394000000FFBF250000000000000000000000
00E6503C563C99774B2728D26C219471896171BE4594000000FFF7A00000000000000000000000004AB711A4D9A3541365C0
C502C3A364D8A158CBC394000000C78040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000001285942CA1650B285430CA141650B2800000A4FFB3A7000000000000000000000000942CA165
0B2859405942CA1650B2800000A4FF9A8D0000000000000000000000250B285942CA08B28505942CA1653872800000A4FF72
E200000000000000000000000251B2E2C383E1B8A1D85CEC12E7CA161730E2F8586C000000FFB70800000000000000000000
00094C9AB616505C346C2CA15C8B285A51151B81C594000000FF8BD800000000000000000000000128B751A9151B84594636
6E1B0A045971145B326159C394000000FFA32C000000000000000000000001FE7505943334BB0450EC13D1C36161B84C50D8
6C000000FF61F400000000000000278040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FFA5E90000000000000000000000004A141F0B2AD9405E42CA68E904507C28000000FFF23200000000
000000000000001285942CA1650721653874859428000000FF63F600000000000000000000000994283E70E50B2859405941
1690A0F9A394000000FF8BD5000000000000000000000004A1650B292283E165245222CA70E90B29A394000000FF07AF0000
00000000000000000003194D1CA08B29AB942CA10CA1650B29C394000000FF218A000000000000000000000006528507C916
53472AD26C29083E1650B28594000000FF8A3200000000000000000000003207CE1CA48B2922CA0B268DE22194E1B21650B2
800000A4FF834B00000000000000A78040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000006541EA17D276A168B24591511336447D6525165518000000FF6DF6000000000000000000
0000009CC71C792416524D0564C3AB90918C9C6C000000FF4D32000000000000000000000000D7904252A348B2B22A90AC0C
6618388994000000FF9EDC0000000000000000000000005361654587951165002D3709F0F458586C28000000FFE06F000000
0000000000000000004D3709F5F2E4ED9CB49B472E0619AC71525B270DAA6D39A721B4E1DC4628000000FFCFC40000000000
0000000000000017D23149B65A32ECC9A261656450556DC9B2438B2BB794000000FF983A000000000000000000000004A165
0B285A42CA02CA1408B285940000678040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFC736000000000000000000000000CD4688AFB51C47EA2EFB11920B566A377DC5589D1D0D9A8C000000
FF7470000000000000000000000000963375905D651321C4B84DD66834468E584DA394145924D194000000FF4CE300000000
0000000000000002503808080928C2648608126C3042FC6470000000FF76B20000000000000000000000012987944764C3B8
6D94C3CB08A0A8D89EC98A74B261D85A4C000000FFB31300000000000000000000001881EB0C0F1CE87D371A1F498607928F
34966078C3C3C9B0C0F25D64000000FF273200000000000000000000000024905902CA13434185E90C0419C4699C20B86604
0FA4000000FF7502000000000000E78040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (072409204F8FA4B0318A4327183D950C48000000FFD236000000000000000000000000668B216504546C8A
174A225A4526F169E504546C000000FF9A5D0000000000000000000000019A8CC7440F56CBABD5A2CEFB9192095F6A347D81
D1459568B88C000000FF80DE00000000000000000000000120F2C7944C988C45100121C270CCC384C944000000FF19C40000
000000000000000000066A3D457DA8D5F68377D88D504AFB51A225B50BCC3AAFDC8C000000FF462700000000000000000000
0001750F1324AB82EA19309C3A786F8CAE2AE1BA879586E44CE417C4000000FFFBC0000000000000000000000031E5880631
1E9C790C7915D61E208318000000178040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF61C30000000000000000000000004793942CA48B4A1A548085621C16550D87A4000000FF18600000
0000000000000000000214266124586D68C41700BA864F32A2000000FF3BB70000000000000000000000390001650A1CA950
C0B294000000FF4E47000000000000000000000003160EE91E90E2787135A2D147962079C753E1C79E45344C000000FFE5EE
000000000000000000000001C80520CC4646652008000000FF8A5E0000000000000000000000003C9142D4389645912785C2
4BE2E44BE51671459E5164000000FF55900000000000000000000000005950D28650B28514A1A51861366194000000FF7780
0000000000000000000000004A00978040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2326F5353F0ADCBAEC000000FFE3CB00000000000000000000000013650AF8BA6CD378E523AB2319443CAE
8B29C364000000FF511A000000000000000000000019A8E561E505CD961B592CFD88C905AB35DD5751B165E1B878B88C0000
00FFE6E900000000000000000000000011171B0B28542D0A2688A13291C6114DB45A344C000000FFF9470000000000000000
0000000023B262DA56C9DB697B0E29D22CB484834917444BE2E50CC594000000FFA7460000000000000000000000063C9C70
7CE38BE72D13C487642F2A1E20B9C3E49372C1F3A6A5C2000000FFAA78000000000000000000000002D86E1173B48C5A6502
9D06505E150D961D5B21C5240000578040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B2800000A4FF8C99000000000000000000000000CB0E8DCA7C387E159B29142D90126530FC96E2A3649B46
85800000A4FFF23D0000000000000000000000002164C5B5B2A22CB48B2850053356D6C76138B2800000A4FF1AA500000000
0000000000000003351CC7D1CA8B464DADAEDDA2E2B7412BED46AE072D2D1CA0DB15800000A4FFFEFC000000000000000000
00000226876A1BAE2DC8628B616544C56210F16C170E5E4594000000FFB21B0000000000000000000000000BA8E89B279DA2
28B7CB2EADAAE4B896638AB82EA1EAE95AB6E2EBB579752C000000FF4D8E000000000000000000000003351C46D593E149B0
3EDA6DDE2EBB24DE7592EB3AAE00D78040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6C3876CA16413B24C526D565D5B54D000000A4FFA49D000000000000000000000004AADA6D897C997625F1
64DBB85D66159A721DABC5C7665D5B5B99800000A4FF72350000000000000000000000012AA6C9E360B8BAD82EC9A36A382F
8A8E2986C2765E51B299750C000000FFE4FA000000000000000000000004A61E530F28516E98694451285979165845A40000
00FF0C8F0000000000000000000000002CAB6546DA6DDE2E3B24D1490B16C2B149B678B8EC000000FF7F9400000000000000
00000000010B272A22A3748A1DB28B20C6D3384BB1B285D4000000FF5922000000000000000000000000AF8756C4F165B11C
5942A36498A3219B87236C76E800378040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000063942CA151B0B2A1A5918CA1650B285A4000000FF27B00000000000000000000000009728
51FC47F0C7B0A4DA124CC9E2B193282D1CA48A6D85A4000000FF3FD60000000000000000000000006650B28595964D1B21E8
99200F85971151B445A4000000FF0FC9000000000000000000000004A15F0EAD89E2CB6238A2DB30A2748A722D996C3CA8DB
0D8DA4000000FFE89E000000000000000000000000265859307D170D1BA4546D0D28814E581F136C6CB08A89800000A4FFAE
1900000000000000000000000097916586D94563E1B770B8CC934A59B328DAF629763FDB19800000A4FFECBF000000000000
0000000000009468B661B2729800B78040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000016703A8627A4311D88596114191164174194000000FF48AD000000000000000000000001285942CB
C8B482F0D210B4A13616503D97A4000000FF7D480000000000000000000000128516C33B65B0B4C30D3AB268920EF96655B2
85A4000000FFB07400000000000000000000000250B2858ECC9A36869D4544874A4A89B1EADADD4C000000FF674B00000000
0000000000000001285971B65A59B26D0D229B22410ECCCA14FB8450CC000000FF088200000000000000000000000128516C
4B1651B2A2F0D214C932F246F5161B64D24C000000FFE7F600000000000000000000000942CA151B0B2917A54E3081F250B2
85A4000000FF5B6A000000000000778040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8BAADDA0C66BB21DCBAEC2B169B0ACDAAC000000FF3AE400000000000000000000000072723110839C5C80
000000FFFBE2000000000000000000000002BAB6A9B278D82F0EA60BA2EADAAEC3AB6278A0AEAFC28BAB6C0E9450F56C0000
00FFE9340000000000000000000000066AB57532C4BA4D512E8BD55A2EAB0AE8319AACD3D6AB12EA5B5AAC000000FF634100
00000000000000000000001C4C3A4618A50805324A82EA185608000000FF6A630000000000000000000000066ABE51661642
81FEAB45D564D06335DA36D76BD9D57D9AAC000000FF5EC0000000000000000000000001491434A1434D2286381808A40000
00FF6A8900000000000000000000F78040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (72498967598511D64888B3C927259D6488000000FFEC52000000000000000000001C800365E45942F264D1
B48C542A1CA1650AC59428000000FF215900000000000000000000000321389020200F4900000000FFCA0100000000000000
000000E4000ACF28CA4464C9B22445942CA0986C8288000000FF570700000000000000000000000001E4020C402230100000
00FFEF14000000000000000000000003918A10491212548C80000000FFB9600000000000000000000000002CE0750C4F4862
3908C8C5E9245924C8000000FF9F9D0000000000000000000000024A48A1A40062080A10000000FFB4700000000000000000
000000066BB22CAD951B6AB0AE000F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000A1B8DFC9AF7AC2424DE20894B64B5729C3A508000000FF361C00000000000000000000
0001219B29A396138489A172B22824A1650BEDA428000000FF3A41000000000000000000000000028B7C8B2C30F241D1BA59
71C797170E566DA428000000FF405B000000000000000000000000D945364E3B42227281128CA64E5C45A428000000FFB983
00000000000000000000000000028000000000FF12A20000000000000000000000000E5080500520000000FF06F800000000
0000000000000001164888811222B2C8BE916488000000FF1DAB00000000000000000000000062044348292900000000FFD7
E5000000000000000000000000008F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000A4FF995D0000000000000000000000071F1B857364514270B48661194D1F36165851000000A4FFA8F2
00000000000000000000000012282E840F0A239B3A5231416534F2F22CA0000000FFCE500000000000000000000000001653
E78D94305A41A39611650B4D2C98000000FF614C0000000000000000000000002D38C2C8B0F2165C450C6A18B616520E0BB3
08000000FFB3630000000000000000000000000B4F3089650C16508650B2A1A508000000FF66240000000000000000000000
00B290765082CA10CA8B872987A568000000FF1E7E0000000000000000000000039C4594E9C368589B470D993143942CBCB8
748228000000FF1E9F00000000004F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000001282942761E588E116C9442E1CAC8A4D99397C28000000FF177E00000000000000
00000000012FA29876145B854386C31CB88BC8594D1D388A8DFA68000000FF7C7A000000000000000000000000DA1992389C
27D8C10B2852116F1145B0B59FB818000000FF546C0000000000000000000000025B8749132309264E5A405A395964CBBE45
A508000000FFF52E000000000000000000000000045A71C0C0C3C85949150C22D922CB8DB4A1000000A4FF577C0000000000
0000000000000038649A16C38A650D48A8DA20507C2CA169C0E1000000A4FFB6E1000000000000000000000000A0BC268389
608BCD22A26144A0B57285940000CF8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000025132822CA0C32929C822CA08B2859428000000FF7D340000000000000000000000
003E4A68E5432C8E4CA1470E50B4859428000000FFCDB2000000000000000000000009494E1CA16565483860F851A3942800
0000FFF50900000000000000000000000250528594CCA521790B2851A39428000000FFCEA800000000000000000000002513
282283EA41F2901650A0B285000000A4FF9D3300000000000000000000000094942CA4A226141650A0B285000000A4FFEB89
0000000000000000000000094942CA165250165250B285000000A4FFA45B000000000000000000000025253872A107C94282
CA2690B285000000A4FF678700002F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0D97000000000000000000000000007304190D72C8962480000000FF1A3E0000000000000000000000
00944766531F2D22A3643D30D8A2C5969F595B2A4628000000FF81E80000000000000000000000004CA0AD3B81C596E236BC
9B44986E4334CB865DE0719C7725F5E2DC8628000000FFEB76000000000000000000000009794A85BA72C2683E66544C5286
C7CA29A90A8DEA68000000FF8E1E00000000000000000000000094942CA78E5250165293860F8228000000FFC0B500000000
00000000000000252538728507D8A36179347292859428000000FF141F0000000000000000000000129285950CA158328387
2929C39428000000FFE4B8000000AF8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (04A0F287A8EECA0986C924C3709A2387282D1CA08ACA4688000000FF9F3000000000000000000000000004
332414475B9C938E065A6092C2FC60F0000000FF26A70000000000000000000000004A06541ED56DC7CA84E5E4543D9CB25E
1729859428000000FF2CAD0000000000000000000000018B388A707925381E65986AFB881E4A264C303C71EB098627BA8800
0000FF3ACF000000000000000000000000109D0783083E90F49291C8CF1E4C726781A478FA08000000FF3DD5000000000000
00000000000032A59C511AD121E4B29251E4287664D90518AC88000000FFB3E700000000000000000000000001745C4B3D21
A8A869A0D949C164144C300000006F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (364650B29102E2CA1593472CA628000000FFF65600000000000000000000000ACD755AB6182A82CD57ED46
E82F0D9A8D5F6A3564BABC88000000FFE1F40000000000000000000000018A4647E3892039021EC8643A4608000000FF6C45
000000000000000000000000AFB51AA0A46A83CABED461582F7DA8EABF6A357DA8C930000000FF472D000000000000000000
000006AE0BA4305E1A2E23E5338CF43B5C44C3750F570DD438690590000000FFC492000000000000000000000003913C817E
5881C794407131E46208000000FF4FD400000000000000000000000A82659446ACA2482C7DA8D5F6A330E2330C10000000FF
5E35000000000000000000000000EF8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF5B8C0000000000000000000000000081FC8276415910A338A2951190000000FF594A0000000000
00000000000000010E06488522C894A220000000FF33C20000000000000000000000624FA61F2A58A644C311E150C1E51E56
183E30C0F26C3E4470000000FF5EA6000000000000000000000001218882E2610CD2109089B840000000FF6E250000000000
000000000000011648B245923E9129367145930D142C88000000FF51DE00000000000000000000001C99151386116C2D34B2
64D85422CA865E45A508000000FF59F700000000000000000000000002E419920E21284C249024811070000000FF31CC0000
0000000000000000000011942A001F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000432E26D3092F28596102CB8BB4F859428000000FF4D0D000000000000000000000019A8C43AB7CDB5
189316D6936482AAF8BAEE8B26E554D46C28000000FFB0760000000000000000000000001687649B498E283E69E50A387292
8C360B0E4DA228000000FF3348000000000000000000000000434DA262B2CB2E6D82DA160597984DB5A2D86668000000FFD8
1A0000000000000000000000063C94387CE9CB0B860FAA78CA1793868FC2A983E8B860FB2CB8000000FF6D98000000000000
0000000000021951716531C526C46F914CB276DAD86C0FE1E7B16D04000000FFC24F000000000000000000000000949161B3
26AD9286587948C3748B288E28009F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000002CB6C8ACD3C5C7E76524C3872F2C3926151FA45000000A4FFFB570000000000
000000000000002A36159C5126C8D21408B292898B66AE3B49C5000000A4FF7550000000000000000000000000CD46565E8F
8BAAD1741F6B5992095F2EBBA6E1955E5D5B14C5000000A4FFFED200000000000000000000000002CB518B2A268CA0987485
1A3959861B0B2C0E28000000FF42310000000000000000000000002EA198F2ED965D5B15C536C6BAA62B9ABB2EADE0EA58B6
AB8B2A0E28000000FF54B100000000000000000000003351953959B6A3C6C3A6D6D76A9AAAF8BAFCC7176AF571DA56280000
00FFA5B3000000000000000000005F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (465E5745000000A4FF86030000000000000000000000000D314BB42F8B86CCBC2D3B56DAEDDC0CAF175DBB
C5D76AF2F285000000A4FFCA3D00000000000000000000000A38AAD82ECBA3663A272DA27CBA36238ABB2EAD82ECBA86AB8A
5DB268000000FF7FCA00000000000000000000000E45942AB641E5E4595082CA1650AAD82E28000000FF90F0000000000000
00000000000049EB2AD569465D752EC934AF175DB8685D76AF161DB228000000FF802000000000000000000000000000F155
B445648724E5C48795102CA48B48526C68000000FF90B20000000000000000000000002587944F17D0CD9DF28CB48A0B2B38
1CA161B745000000A4FF9A030000DF8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0CC10000000000000000000000620A2D98746C2CA48B2A1E4283EB6070459428000000FF568B00
00000000000000000000115B372AD3FC638650B2A142D1CB03F970D9AF9428000000FF7ED800000000000000000000001158
B29D3942CAB69423972851939428000000FFE5CD0000000000000000000000004587944F165D51CEC471694611C396431655
B29228000000FFEB2C00000000000000000000000298AADA2CB8B703D3285A59841487B863864D8D000000A4FFF39D000000
00000000000000000E7E5C4526C94BADB598678195E2EA3A6DAED5363B72C5000000A4FF3AC0000000000000000000000005
B0DA4628B6851BC450EF502CA1003F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (890284E69532D24408000000FFAEAE0000000000000000000000007213C2591F20506192E2C820000000FF
F02D0000000000000000000000002CB88B2987950CA841464F5B85939428000000FF06EB0000000000000000000000000595
0764C3B259346C93865A61136C86C8AC459428000000FF7DE30000000000000000000000002CA1650A2DA156D98548B11896
2CBC8B2F2CB8000000FF13520000000000000000000000000595687642E532E195092B66C148B1F2AD04000000FF22EF0000
000000000000000000016548CD94961CBB0A5DA10512C9E864E072F228000000FFF353000000000000000000000018828B67
1E5432AD9508168C08B2A1942800BF8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF731F0020000000000000000000000E55E2E55B5DF28CAAF175D85741F2AFD60FABC5D56AD171D856
28000000FF8A57000000000000000000000000010F209C0804471C9250C170000000FF45E500000000000000000000000157
155305D5756D164E5355D9756CD714ABB2709AAEC3AB7AB8A59B3818000000FF7C4B000000000000000000000000AD06AA17
45D564D1695EBAEAAD06568B655A2EABD4976488000000FF769400000000000000000000000320A46056841C8934CC80B9D0
000000FF43F00000000000000000000000002B4FB166ABA516A859E6AD06578B52D536AB21E8325EB0000000FF34BC000000
000000000000000000A1A221F4007F8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000031042421200000000FF25C60000000000000000000000041D103C8B245901
222510AC4BA168A207F208000000FF85E90000000000000000000000016534728546C222F250D1B0B29A394930000000FFE7
070000000000000000000000008822710014B918D100000000FF52090000000000000000000000015922C9126CE42F270C9B
0AC8503D90000000FF7D990000000000000000000000000181865C8010000000FF335700000000000000000000000024C421
14A905C110000000FFF67C000000000000000000000003909F880F64611012208110000000FF6FF100000000000000000000
000722868861D201CE2044080000FF8040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 32 TDI (0000401B);
RUNTEST 3.0 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000035E1D9939433365A50B268838BF0B860A2DD208000000FF2D3500000000000000000000
0000165C8FA30ED15AC3347905620BCA199A09229360000000FF81760000000000000000000000064C5B3464F85A51342438
A250B28516F870000000FF81F2000000000000000000000000C383E23B272A2E2D209314E45F50DA3622A360000000FF006A
0000000000000000000000005942A778B262980A251B0D859690000000FF00E5000000000000000000000000000025000000
00FF8B3D00000000000000000000000000002109958810000000FF0DA10000000000000000000000022CC86EB24690145113
A876C8ACA22B20000000FF1D8A00004040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000A4FFCD27000000000000000000000000165386CD30CA02F3EC32F2D1937CB0F881000000A4FF441A00
00000000000000000000030FEF82E6DB0B472D252290CB158A1ACAA6000000A4FFB3C20000000000000000000000002CA15C
C32850C5628CA258A2DE22A160000000FF4C99000000000000000000000000165A58F24E17165014441FC0C5059690000000
FFCB9100000000000000000000000070E5845941C0E57218C538F4BCA298A0F85644B0000000FFFD0E000000000000000000
000000ACD94287644E5014465E45242B20000000FFCA07000000000000000000000000AE2CB4B27ADFAC9CA0290CA538A1F8
566230000000FFC7140000000000804040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B485000000A4FF83F70000000000000000000000072265432859494282CA1650B485000000A4FF887D0000
00000000000000000000964368EBBE4597903F88A20F29A305A468000000FF5969000000000000000000000000AD28594978
E563298709F08B623B2729C3A428000000FFEBC6000000000000000000000000E42B165E7DB8EC3348D1B04245122CA99B86
CD9C364630000000FF2EDF0000000000000000000000068C53A6126D0F86544690C50A6687FAF16C456408000000FFB8A900
00000000000000000000002CA4B860FF30A360C3A979E534728564000000A4FF4AA10000000000000000000000005942CB09
C20FB26A282CA34C30B5D95E0000404040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (872851A39428000000FFE098000000000000000000000000E414A68E90B2A0E94282CA1650B28228000000
FFE8E90000000000000000000000000F85942CA4A1470E50BC85A428000000FF38470000000000000000000000001285942C
A8393285E50CA16538748228000000FF51C70000000000000000000000000942D208B29285129A31439508000000FF8E5F00
000000000000000000000009411416503B0A25347285A508000000FFD0A80000000000000000000000025346C82C3CA0B617
9250A0B2A1000000A4FFCA82000000000000000000000001285642CA4A144A1650B4A1000000A4FF24850000000000000000
00000001285942CA4A141650A000C04040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0664B22C8C3A5CCA450D268585646488000000FFF5F7000000000000000000000000022EB1398405C4B21C
960F1430000000FF9ACF0000000000000000000000000131B24A9C79048C78A226C94460000000FF11290000000000000000
000000000BCA29B0F288E2D2236346190F2822CA8A166DF288000000FFF51B000000000000000000000000570DD16D1DB791
8B4E24CA09ACAD1346D4B69DEA4E8D8288000000FF6B3F0000000000000000000000002247CE1CB4DB492A229C3876349BB0
F0B0EC28000000FFA3BB0000000000000000000000000C729A3942D24A14841F045123A428000000FF15F300000000000000
00000000025045A4C3CAC650A300204040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E430D881727213E3CB10239F20000000FF1C040000000000000000000000002A0BAF610AFD30C2A08E766A
357DA8D581C0000000FF50A60000000000000000000000000476743744B04A70EC4CA08A84FA394168D90B658228000000FF
D9B20000000000000000000000004337A4E07818010104810CC0000000FF9663000000000000000000000000253266D850ED
92361449A464D922CBC8B2F308000000FF82CE00000000000000000000000009E278666381E99D46CB9654347926938BE133
0C0F19697A8688000000FF8C8E00000000000000000000000141928D1619C3898962430E17B0C663DC460B338508000000FF
6D7F000000000000000000000000A04040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF41CF0000000000000000000000042A42CAC0C9B0A6DF2282D3CC32859428000000FFB68B000000000000
00000000000C408560491C82490219813810000000FF36530000000000000000000000004A21D50B285942A131650ACAD36C
88000000FFF6C3000000000000000000000000AFB55AB2BF2AD1751854123ED46A8351AA0E20000000FF3949000000000000
000000000000050D0B04270AF90390019920000000FFAB9900000000000000000000000057DA8D504AFB5185416ACD46AFB5
1AA0E060000000FFEA0300000000000000000000000AB86E90C374329C2093CAAE2261BA87AB85EA1EAE4410000000FF2DCE
0000000000000000000000003100604040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FCE982E8BB860F85E4E390E13FCB860FE228000000FF0A1C000000000000000000000000945B69B0CD8F14
D1365E4D05C59B6230B28D04000000FFA0AA00000000000000000000000250AC526F11690B28504284F23C5B84595C380000
00FFAA7E0000000000000000000000001889A5118720C324146C0B10000000FFADFC00000000000000000000000350082051
110129D41940BC20000000FFBEF400000000000000000000000072C68324CC303D882F4A861B91E4E381E140F84C30BC8800
0000FF75C5000000000000000000000000E618401838A6110E20000000FFD3220000000000000000000000005267921D922C
9164888B34A2CB5A2C8800000000E04040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFBA5F000000000000000000000000334DAAF0DA68B2EAD99754D571503B2EADAAEC3A86ABB2EADA4628
000000FF4DE80000000000000000000000012BB6D37EF1E4F94BAED53545F975FAB85D7E57DA4DEA28000000FFE6A5000000
000000000000000001285945336543285166E1CA42F90A0FB268000000FF7B1D0000000000000000000000002E8D81FC5D38
DA4D90BAED53521B5751AB81D760B0E2DD1628000000FF950A00000000000000000000000190EF116130A1DA107C2A11444C
838B2DE228000000FF3AC80000000000000000000000000A6E114BB4BD87A42CA14159A015DA4DF228000000FF0222000000
00000000000000000396329D7000104040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF390F00000000000000000000000019975B655A6DEB6976A9A578B9485D76AF175DBA28000000FF706000
0000000000000000000000016C6CB48B1D8D9428C3CB08A0B2F228000000FFD51C0000000000000000000000000A6F116BB5
0CD97161E50A0A9D86F0CA165A61000000A4FFD95B0000000000000000000000000B6CC3A3670E5045942991653260B28500
0000A4FFF8FF00000000000000000000000012815A22CA68E90AC1DA30294116564D000000A4FFADC5000000000000000000
000000AC5B561B662BE2EBB5B5BAA689B6A35683A8D5E2EBB745000000A4FFCEDC00000000000000000000000090ED9130B4
61D85A4282CA1650B2E268000000904040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000029B42C29A866CA01140B46A9E47C5CA4A0000000FFF964000000000000000000000007
20B623C389D22CA028C3D2C8BC92A1000000A4FF8DE500000000000000000000000012CB4A1689C36D76AFB51AA695E2E9C4
5AED5F6BB541000000A4FF77EF00000000000000000000000007CA161B44584C0921461E54429E51000000A4FF8D6F000000
000000000000000001C976238A15857C5D76AFB51AA695E3C93D41AED5F6B35683000000A4FFA4F800000000000000000000
00015B55D934EC5764D1354C17855714ABB26AD86EC7A86AB82EA9AA88000000FF90C000000000000000000000000095164E
1B0B280A0A19AD94A48800000000504040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E5B21C0B9A4498000000FF3FFB000000000000000000000004A64EBBC79346C170282143344194A4280000
00FF626C0000000000000000000000005B478B959143B52E4518F84C04A428000000FFE1CC00400000000000000000000002
50B2BAC9A374C460A0AC92CBA49F34D3A8000000FF820800000000000000000000000021B41ED0EC2CA10E1472D9332A984C
88000000FFD98700000000000000000000000073285942CAC790A326078228A428000000FFA79C0000000000000000000000
00E510650B28595481140B4604507CA428000000FF75D700000000000000000000000011045B468B0CC2CA23C8A387099932
984C28000000FF7A050000000000D04040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000002A6A1F1E9D8AE8BAB615715ABB0E2BA0E83AB608AEADA2E28000000FFD94400000000000000000000
0000E55475A2C2BA2EAB3956832B45D1643927559A9288000000FFFE6000000000000000000000000000F322204224649856
C98890000000FFD02D0000000000000000000000025067A9870B355856832B41896699B558CA1688000000FF82E800000000
0000000000000006283F224D280E419C32B954C470000000FF13E800080000000000000000000000606C89C419403291A995
4910000000FF1DDF000000000000000000000000979151BA4516C0505671653B24D4D228000000FF3EE70000000000000000
000000002584596D1365061C6400304040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B268000000FF87DD0000000000000000000000320479A8F902363244E41A40000000FF17BB000000000000
000000000000B0D91942B202A961E522C8969488000000FFD799000000000000000000000000446300B90D401EA130000000
FFF7B40000000000000000000000009884821080840208000000FF26090000000000000000000000030315C17871040017C4
104910000000FF3B920000000000000000000000031049872010376400F0000000FF7AC60100000000000000000000000CCA
8A21DADAACE55379579326D116AB33559288000000FF959E008000000000000000000000004700040491C84B90C170000000
FF66890080000000000000000000B04040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6C622F2E91B0B2A070ED08000000FF36D00000000000000000000000086544D9317D0B10C394366541ED22
9508000000FFD347000000000000000000000000318650B2A1017322897161E5E42DC308000000FF018A0000000000000000
00000000004A002500000000FF273800000000000000000000000001280840A500000000FFA96E0000000000000000000000
08592242C809862888767593329288000000FF08AA00000000000000000000001972C50F28980C8CC20400000000FF9D3600
000000000000000000000081E83671444B22C8092888A56456B32C9288000000FFA31300000000000000000000000A0DA794
2D248B0D80AA50794D1CA2983200704040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A0682876B654472A1708000000FF0946000000000000000000000000E4595D68C5B1B2990532283E0B66E4
0F8228000000FF05C60000000000000000000000000B294396168E53288229964E548DA3949508000000FF54520000000000
0000000000000108B2A144E9161B10724522C3CA238A44179508000000FFF86600000000000000000000001C8B2C2D1857CB
86048A04986F9B6546439508000000FF8F9F0000000000000000000000000B299316E1141C5912C394CAA1B2647995080000
00FF490A00000000000000000000001CE070CA65C28DE268393602C8A845326E134102F2A308000000FF6C09000000000000
000000000000130CA50E58527400F04040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000011944CA65E072B1940586C83CA4A0F2E308000000FFA2DC0000000000000000000000010C
A2DC32AA2CA02B170E5161949428000000FF2C4F00000000000000000000000861BC8E1A61145B64921F91793860F8512866
28000000FF5A6D00000000000000000000000C6196179D9717280AC587971F0E1B27946C28000000FFD37B00000000000000
00000000010C32822CA63E503CA22F2141F71602F2A228000000FFA058000000000000000000000000B28228287611144A16
5332F228000000FF53820000000000000000000000066E558C505C2CBC81409E3B19DE32079468000000FFEC920000000000
00000000000001116522CC2E7C00084040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000001652924728207D1721590A0F8507C28000000FF94A5000000000000000000000000
01165190B29280AC8505A488000000FFF08200000000000000000000000002CA3216503285AC85942CA0000000FF55050000
00000000000000000032E496334A68E5E6907CE54D268C08B28288000000FF6B88000000000000000000000004A4A8652584
30D485942CA0000000FF21D7000000000000000000000000865190B29280B285059508000000FFE092000000000000000000
000002194101E16525070E50B2859508000000FF16BE00000000000000000000000031461B44479485CAC89B016511DA3054
6D08000000FF15EF000000000000884040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (F223B1047671E52921D608000000FFFBF60000000000000000000000000858769191309C5128D44E22CB88
B09A2688000000FFFE4C00000000000000000000000001C8E54264C47D9911A76482043388EAB68D55B5669D9708000000FF
BC60000000000000000000000001091E1FC47C92685C3292C21A60A3CB8F28584C68000000FF807500000000000000000000
000023294A1949400451E3A428000000FF95800000000000000000000000A42514D49A3E54CA143520B460459508000000FF
926700000000000000000000000000F9485128290A0F859508000000FF12200000000000000000000000001652924729280A
C9C3059428000000FFAE23000000484040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (39419CB21BA85928202E1CB0D66C919468000000FF8D6400000000000000000000000012527F2EF2480248
602484A130000000FFF05700000000000000000000000398654569FA6CAE65C411D234CF91650B0D987818000000FF808200
000000000000000000000E461B28AC0F24C342C0B272499A7078566389E1398607B288000000FFFCF1000000000000000000
00000A1C9034D22406622381E83062310E25A070000000FFBF3800000000000000000000000030367907522C81D4226C9A37
AA225910CC28000000FFD8C70000000000000000000000018C6769C28D9CBA08A1D219F30DB3CA20000000FFD32500000000
00000000000000000437E2CFB200C84040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1B2D0000000000000000000000002509C95916428282CA64D900000000FF1BEC0000000000000000000000
0015F6A352C7B2BEC461507937D9A8D584EA709751AA08000000FFCE6A0000000000000000000000001C890E40560BD04110
000000FF0CD900000000000000000000000AFB51A0750D5F7230A82459A8D506A33351AA08000000FF0A9A00000000000000
0000000004DC3750D170CCC3C5C3751C2AE6061BA87086EA181750C170000000FFB1EA000000000000000000000003963CD2
E5881031E41C4720000000FF3D3E000000000000000000000003566A330CD5988C2A0B5F6A31AD5199A8CE30000000FF480B
00000000000000000000000C7A00284040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A2B26E8A0414000000FF8F46000000000000000000000000094949369420A230DE228B6020000000FFBBB9
0000000000000000000000011024821D8005CA6500000000FF532E00000000000000000000000A459FA881D8886425000000
00FF33E700000000000000000000000072C44B6207C2881EC6070BCAC307C63A1C0F2643E5C8000000FFFF77000000000000
0000000000000A4108CB98494200000000FF4DB100000000000000000000000A5915C85916488224D4A225F28B2040000000
FF045000000000000000000000000012C29428761610A432E22CB880000000FF230600000000000000000000000024B03E40
928812392049024F20000000FF00A84040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0E1336F5765D4355C1750D10000000FF95E700000000000000000000000D7DAECCD364A171DADA8C2F2EE9
7281E2D76159291E4608000000FF8A620000000000000000000000025121DA164168C179F58794283208000000FF7E330000
0000000000000000000459AECCD36CA1711ADA8C289B63E598C5AED07020000000FFA84A0000000000000000000000000530
6525C70344C282B11651BCA34A10000000FF2F1C0000000000000000000000001282DA30CA8414C1CDB42ED09E50C3700000
00FFA85500000000000000000000000072C45B47187D13842FA543E42F250C1F0B8FB1E404000000FFA56600000000000000
00000000002525645330828C9C00684040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000066BB2546D8EF534A9A850BAED5E2EBB00000000FF6E02000000000000000000000004
AE49B0A85E22828931650A00000000FFE9BB00000000000000000000000094C876178B2850504F0CA16500000000FF32D400
0000000000000000000004AE49B0AC85E428D197614190000000FF3D3600000000000000000000000014C1940CC893614142
3F32993944B070000000FFE97800000000000000000000000028366BB334D5745C56B6A30AA2D995536A757DA8C630000000
FFC5BB000000000000000000000039C5282FA2741A361408A13B86D940BA90000000FFECA400000000000000000000000137
05D5B09E89AAE4B99E75D430A500E84040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C26C623068C5B1A4459428000000FFB9520000000000000000000000068E50B288F0D2012471655B29A394
28000000FF843A000000000000000000000001650A0B480898AC85059428000000FFD43500000000000000000000000042EB
B5B51EB6F66A82541436D46A9B5D859428000000FF874F0000000000000000000000011956A37C8B0800B8B2990594880000
00FF9047000000000000000000000005B5DADAED5E2E335412A099E2EB35613AEC2CA0000000FFF2E4000000000000000000
0000032EAD987C54B65F14CC12AE62CBAB6ABB0EA1859488000000FFBDB100000000000000000000000008CA1650B48048B4
85942CA0000000FF9A3700000000184040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000B2930CB0DA29C200B0D88E2D0EC28000000FFFFD00000000000000000000000
008654328A768668D2014065A4546C28000000FFDBA90000000000000000000000025E6194BB1690211643E486D0EC280000
00FFB1440000000000000000000000016525AB26F916932C40B4856CE2858A4DB368000000FFEFB900000000000000000000
0003872BA293608B098988C62C39278D32859508000000FFD7CD00000000000000000000000016509C5E429260E6C379D130
A6459508000000FF1FA3000000000000000000000001A39611650A4980405C3A7164E565A39508000000FF379B0000000000
0000000000000070E53864DB3000984040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C8620400000000FFB2940000000000000000000000001975D5B55EDA55765D5B072ABB47ABA2683ABEABBA
EAFAA708000000FF9A0400000000000000000000000069D1755AA43B2ED175580AD1615D1745D56AD1755BB418000000FF76
E50000000000000000000000001D88CE4B300108582744C840000000FFA74E000000000000000000000006B5591E49C28EB5
580AD0E8D9C68D56AD175DD228000000FFC9B40000000000000000000000012094B952010A19401F08000000FF1DC4000000
0000000000000000049813F2E8969403311D3ECA0C30000000FFB2E600000000000000000000000119485937C8B48240ACD2
C8B688B2B368000000FF06810000584040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000019394E1D214A490A8C2C9CA175FC459408000000FF97DD000000000000000000
000001A820C42904C8546A40000000FF3F60000000000000000000000001103C8D270C64148DB291642CA0000000FF7CD300
000000000000000000000E41A4080259421C835808000000FF030E00000000000000000000000031622790410807633A4000
0000FF3E010000000000000000000000062724A9000F2A4071E490000000FF4733000000000000000000000000153000031F
964008000000FF5D2A0400000000000000000000005175DAB45936AD075580AF172BA2D56AF175D91E28000000FFA9C10000
00000000000000000003118D2100D84040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5E30830815CB8729A372E18B60000000FF649F0000000000000000000000006D208ACC3C8CB11423B0F2AD
07CC3CA0000000FF184800000000000000000000000022CB0C3C8529050547CE582CA0000000FF5917000000000000000000
00000E4597985942014165432F2C9CA0000000FF00E500000000000000000000000000002500000000FF33F8000000000000
00000000000052C400026500000000FFC19500000000000000000000000025D1648B2025144461B22CB3AB20000000FFC71D
00000000000000000000000005D0B91090890D0D20000000FF5CB200000000000000000000000062889630D904024F28A947
DE6C342C8B20000000FF2D990000384040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000016942C923004572D1A37418A0B2D244000000FF37050000000000000000000000002CA52C080281
165845942CA0000000FF2F9E000000000000000000000000645950750C4B064298A25E326CD38D1CA0000000FFE655000000
00000000000000000018F1141747936511453264504E29368B472986E1C360000000FFAB8800000000000000000000000020
ECB8AE29B868DA870A1391416541ED43C38760000000FFB8F80000000000000000000000095C595942E9061009C5E42CB03D
B0DC462CA0000000FF984B0000000000000000000000000507D0785418311423B8E8D8572EBCA0000000FF46890000000000
0000000000000042CB8A78B86A00B84040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3600000000000000000000000003D488E69CB8F268DB15205A4D182CA0000000FFDC700000000000000000
0000000189348A629D3B432830C4229A186C2CA0000000FF35830000000000000000000000009869A5A30CE1F4087141653B
ADA8628B60000000FF62C60000000000000000000000002721619CD62D8E4827924572E1CB03B464C2E1A360000000FFF48F
000000000000000000000000178417E513228C201714145B3464DBA28B60000000FFE1EE0000000000000000000000039420
B953A418402B1171B0BC9C3940F0000000FF41430000000000000000000000005A718713A01448B0DC0E2CB818A8FC0E8400
0000FFA945000000000000000000784040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000007D08A404329A39414A0000000FF183C000000000000000000000000290563A418690080C3
282E188CA0000000FFCDC0000000000000000000000000E4A50D208B48050C330A0F828CA0000000FF486800000000000000
0000000007203E071CA0E428688CA0000000FFB77800000000000000000000000004CAC40A533C80AE4D948CA0000000FF3F
2D000000000000000000000000525244E552077105A3E50CA0000000FF3D2E0000000000000000000000052521650005950C
A0000000FF1DC70000000000000000000000001491950CA00594282CA0000000FF9BD400000000000000000000000520A328
5940420F85942CA0000000FF5600F84040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (03D1F4EB20000000FF9CFF00000000000000000000000669954BB687207918A509F4B88C08000000FF5138
0000000000000000000000003988CE44B089201E0BC4688328A64C20000000FF8DAC0000000000000000000000000A695965
1B252395CB131A0C4BA587949710000000FF9082000000000000000000000000A427945326504D9448C4B2136CE98AFA3607
ECA0000000FF134B00000000000000000000000043E34A207AB6A9DB0D8E448C1B6E8370E9B250716C08000000FF59FA0000
00000000000000000000A4950CA720B9054822891CA0000000FF68200000000000000000000000000F943E449D202194698C
A0000000FF41AB00000000000000044040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000038F211F94AF10027948600000000FF7F6800000000000000000000000067B24A2C8502A0AABF6A3855
1ABED460000000FFFD9200000000000000000000000124D172BA86CC26ECA0102CB81966C82E1CA0000000FFB04200000000
0000000000000010392071D0E47002038A4B88C080000000FF4A750000000000000000000000006699453873BD4595CB1189
C26278B2930CA0000000FF50D90000000000000000000000002447648714C0F09D1641C85661A5E31C0F25CB342C90000000
FFDA240000000000000000000000000189C1D5D2311C082406695A31192438A89D20000000FF31C700000000000000000000
00011328F3E8A68C261911451B00844040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FFDFC0000000000000000000000003186515C544C2CA021464E58459509360000000FFE28000000000
00000000000000001207126132424083430CC010000000FF5D7B000000000000000000000000AC89344C9359014165668364
2CA0000000FF541500000000000000000000000AAFDA8D504121C63CAA0AAB8AEA38551D5715D4E0000000FF38EC00000000
00000000000000000248D96496439009213C00000000FFAE650000000000000000000000002ABF6A3561348C054155725D46
19B353AB15D460000000FFAC89000000000000000000000002261983A1C4E4388E482AE2AE0BA86086EA1EAE1BA860000000
FF891C0000000000000000000000444040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFA5F00000000000000000000000073C8172757E50B54E563C85E4E1E3FC8A2F9C307E10000000FF478D
000000000000000000000000432C3A90CA0282147B159B219490000000FF89A200000000000000000000000021A48894A0C3
82CA165C519710000000FFE94C0000000000000000000000000E820EACCA008F216F1110000000FF1DD60000000000000000
00000002535E188C94042939949220000000FF8FCB000000000000000000000007213A2078C325F1C5963C91E5171C0F4510
3C6183E0000000FF3EC8000000000000000000000008407F1420845204C0C0000000FFE1900000000000000000000000022C
C2886411228B3CA3EE341B200000C44040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF870900000000000000000000000010F2E2283084F2B105426CA258B2897883E0000000FF456600
0000000000000000000000049754D176CF944D1756C050A76CAABB2EA1C22BAB60000000FF44B50000000000000000000000
03289EB15BA15E166D80BCB2E5D3F09B5DA385D760000000FF2C65000000000000000000000000005F1320A329C85E51F0CB
B0BCC27D1CA0000000FF89B7000000000000000000000000142C7BD55D5A93602863145B3275DA36D760000000FF1AF80000
0000000000000000000010D2723A229D070EC022B0369E5D45622CA0000000FFCA3E0000000000000000000000014987C594
A0280FB55B11C933381CA0000000244040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C52AECBAB6E54324D9756C28000000FF509A000000000000000000000002905BC619494282CB0A5E459428
000000FF8EC700000000000000000000000002866B61B18F5AEC2A695E2EBB34D13AEC85D760000000FFC58E000000000000
000000000004C34981E28A686901416526D2470CA0000000FF6D000000000000000000000000012785D2A18A4992F0CA0282
CA0B8B282E2CA0000000FFED4A000000000000000000000000B48597930E901511B4F285122CA0000000FF5C170000000000
0000000000000029C7A3A8C338650154B262D9939794A0000000FFB2F000000000000000000000000066B3D58F50CC766BB1
20A478726EAB36A332C2BAEC1000A44040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CA46E1CBC93F65C39428000000FF650A000000000000000000000001290E88949411521B472B91C3942800
0000FF448500000000000000000000000520CB8C20B29285059453F65C459428000000FFD9F6000000000000000000000000
C5A3A41741C27A5250A0B28C5DC39428000000FF220B0000000000000000000000002225D664991EEB27AD764AA9A578BAED
1A6BB50EDAEC28000000FFE09A00000000000000000000000005709A6649B32A19494282CA88224D9428000000FF4E520000
00000000000000000000197C5D66EF17AF285D764AA9A578BAEC2B06BB66DAEC28000000FFD9B10000000000000000000000
02150E1311C5B2C93C5D5B255500644040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (F7B1000000000000000000000000E7116C85E28C2CD294282CBC83B4459428000000FFC238000000000000
00000000000094921C61D925C45422CAA610B2C228000000FFF071000000000000000000000000A488C9CA4A1416525C4594
28000000FFFC430000000000000000000000001D1D24C20B29285222CA4612459428000000FF7FE500000000000000000000
000245B01E4A285FB4A52450595EA468B472C228000000FFB123000000000000000000000000293687DB4A1649429116543D
0D859428000000FF60510000000000000000000000018A274B274859494282CA49847F459428000000FF558A000000000000
00000000000004D20A16501F0B00E44040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (56AF175FAA68000000FF9E3A0000000000000000000000041C4702C433002E588984CC40000000FF21E000
0000000000000000000008EAEADA0E2CA625B2EAD85756D5715ABB6EADAAE83ABEABBAEADAAE28000000FF2E6B0000000000
000000000000006A87A345D07CEB5599AAD5A0CAD1755EA8D56AD1755AB418000000FF215300000000000000000000000005
69182BA4880184520A1D20000000FFECEE0000000000000000000000014D51AC53D4B348F5AACCD76AD06578BAAD6D56AD17
55AA68000000FF40EE0000000000000000000000009869B661128004786500000000FFC6450000000000000000000000008B
E229C0A2E9401AA500000000FF00144040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFE2B9000000000000000000000000089CB0BE6A9C26C38B6843F24D42A1AB664C1F85079428000000FF
3EEA00000000000000000000000720E42985130449485789321910000000FFD9A3000000000000000000000005ACE07A9A62
9C0F0A0F8542E1AB6B5985A79428000000FF52D900000000000000000000000009020902CBAA82149200000000FFB0DF0000
0000000000000000000040E4F225E8272100241420000000FFE2B0000000000000000000000008B93C94E74CB4203102E588
10000000FFCB6B00000000000000000000000E491900848E4000000000FFBCE70008000000000000000000000395B57B5C4F
9D62B335FD566F2AF975FABCDD00944040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (126C28000000FF097900000000000000000000000232A46D18C788B28594290A3E3658719428000000FF0C
CF0000000000000000000000042661CB098A84521942B964C5B87B36256C28000000FF279400000000000000000000000008
7949470305E18426C29D4650B2F29428000000FF018A000000000000000000000000004A002500000000FFECC10000000000
00000000000000093100F80C44A840000000FF54BE0000000000000000000000008A160A3491642EC91416522C85D4280000
00FF613F0000000000000000000000042390847210847520000000FFC1CC000000000000000000000003930F595111648856
13A3CE261DA24D922CC288000000544040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000C45A5130F9607285942897170E5230D8228000000FF167A0000000000000000000000
08B274FA53C261D1B84586C171403E08A25D9428000000FF3D17000000000000000000000000E4C3C3674EAB3474D830C36E
58A98E195636BE527CB96C28000000FFAF8E0000000000000000000000008E2CB03A38F285CA851B0BCAD94C993CB2F2B228
000000FFF21300000000000000000000001CC2C9CB0BDAE513E48CB88A3472D06E1C760A461FB228000000FFD36800000000
00000000000000072CB0F288742CB768D12328572C9CB0DA26116C28000000FF4BDC00000000000000000000000008EECBA8
BCA1961459BE453AA99761794400D44040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (05E4D1CAA1B264D8526C90000000FFB43E000000000000000000000003170C5B66EA31CC186534546C3317
9C61969145BC459428000000FF80D400000000000000000000000024E1CA0A2ADD47E1C361650A44DC307D2B66E23858786C
28000000FFA97F00000000000000000000007248768778A30D8546C2936C8AC61961149BC48D9228000000FFDE4E00000000
00000000000000003A72A1E882FCA4728594282976161252E228000000FF1B7600000000000000000000000001141784E150
E91650A0F82299076149B4459428000000FF72400000000000000000000000002513A7968CCA872822CA318A58C946F27E4D
88B2E228000000FF9EB000000000344040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A0000000FFF15100000000000000000000000005942B2765420A0B285E4E1B20000000FF691D0000000000
0000000000000006CA08A0B8926D082B5143B81D87942B20000000FFE81B000000000000000000000000072394E1D223E50C
470A04516C2A2320000000FF3D340000000000000000000000008650AC928CA8488A44C5942CA320000000FFB3DB00000000
00000000000000001650B4A195082894282D20000000FFCABD00000000000000000000000390B29C3641165420A13285942D
20000000FFAE510000000000000000000000000283F89ACA4ED1A368588A0B28504C3CA0000000FF303E0000000000000000
0000000E5882E9CA49E920F2A100B44040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000010A32A568853088914061B05C586CA0C90000000FFBA3900000000000000000000000084CA74
6F4750E073A23A8729C4B1551FA4DA3D1B0388C0000000FF23DA00000000000000000000000020EA8B6CB46A1A6E8CB11A26
84D12F922D7625D3A99208000000FFD87100000000000000000000000008CA48C0A50563051285E41520000000FFC35C0000
000000000000000000005942F2446308A2530E00000000FFF7DF0000000000000000000000002E1CA0C2084144A165000000
00FF0D84000000000000000000000001A305C168C0A5220A0459428D1CA0000000FF07A30000000000000000000000007208
B4A112883E70F90A0B28D27C2C00744040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D4384C921D8F22A724252380000000FF94D70000000000000000000000010EC387687B2629C30876EE060A
50F0346D0761D1B48E124C10000000FF7739000000000000000000000007232C8F1870785E6781E24F9851E449FB09986078
2E7B8560000000FFCF60000000000000000000000000021B8B43C4E083139311A46EE060B0743E945232623040000000FF6A
6A00000000000000000000000011464BA519511598693053AEB20A36EA2CA0000000FFA73500000000000000000000000320
4981E16C47641D4C5531623E6515D4F4DC08000000FF5D86000000000000000000000001CFA4A918D23A650049381832C34E
20000000FF30EA00000000000000F44040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (61B351AA08000000FFCB33000000000000000000000000015824B2011C866000000000FF73D60000000000
0000000000001AFB53F2CA2AFB1199A8D50449B351ABED4FAC5751AA08000000FF9A1100000000000000000000000394CC37
50F030CE8390E3750F2B0DD43D5C41C3750F570DD43D4C3750D570000000FF6927000000000000000000000001CE38853C64
76283A878F272C796200000000FF6FC00000000000000000000000089D9440456623759A8D5040B351AA0D4643B351AA0800
0000FF90B3000000000000000000000000EB3119860B88E91B309F87C8552D1CA0B66CC26D1CA0000000FFFB530000000000
00000000000003923C990E8B92000C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (500F2A4334B480000000FFCEBD00000000000000000000000E46181EA9860F8183E530C9F0BCB26181E474
F25C303C18800000FF1CF9000000000000000000000000161A6905C851010D388520000000FFE78600000000000000000000
000634926C88E8B2E5922C9101E8B34A3ED28B20000000FF6FFE000000000000000000000004229C22926838A6DD22A3661C
1690B2E30D20000000FFE85800000000000000000000000009290C909C4C20E3C9C890219B1240000000FF7AF30000000000
00000000000000138B2A0E8C26159A68394290716522C82E2CA0000000FF2CB8000000000000000000000003566A342D9455
F6232DD9A8D50437B351AA0D47008C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (716554D236D46AF1755A285CA0000000FF36D9000000000000000000000003930D43C1C75144C6CA144B46
0B4B283CA0000000FFA2170000000000000000000000001590F2E285AACC328962CA14078B4851209660000000FF56620000
00000000000000000000E46182E4A86A7C28981ECB860F85E4E182E8DA4F84B07C04800000FFB06100000000000000000000
000112383FA841650B318590F91653445A4630000000FF53D4000000000000000000000000506870304F0D388B28504C3B11
7D44876424000000FFD298000000000000000000000000721274C7665C4503151D994078C4000000FFD4CC00000000000000
00000000004B3486685D1442D8004C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (69000000000000000000000002384A46C92C26CA1448AC85959220000000FF1B4800000000000000000000
000D4CBA8D81D1745B315876D1CAA9AA0F8BAAEAB36A3A0CAF8410000000FF6479000000000000000000000000728A187141
D29C3942F3C8AC99394E1F20000000FF085F000000000000000000000001A992EA1C28993AB82EA7A462CAAB8BCA27CBA97A
BB2EA1A86E9E20000000FFB22000000000000000000000000548F48C33CAD0BF758EF5141F54D196E5D77559B5DD6785DA60
000000FF4E9100000000000000000000001CA3C86781E0609904D942A4370E504502E91CA0000000FFC8A800000000000000
0000000000507A99E7DC7DC8CC00CC4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D377DACD5E2EBB54D2BC5A76AFB59B229760000000FFB7B2000000000000000000000000650F4EE1C4E930
BD1730D5725D5B55C52AECB8668BB2EA1A0EC38760000000FF9F4D0000000000000000000000000516D961E9A45A42CA1416
90B488E2C360000000FFE33000000000000000000000000020E29914534598ED5E2EBB54D2BC5CB8C35D8C689D20000000FF
92370000000000000000000000006229284D3268342D0CA1461D3B859372C3A260000000FF991C0000000000000000000000
0087B2F0A8785C26C8B28594282D2159B2EA898760000000FF0559000000000000000000000000051268586623C285A19411
4CB474AD13C38760000000FF8F002C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8D224C1F0593286F583E0DA4B93E1CA0000000FF5253000000000000000000000000054EF164D1B293960F
8522D182F0DB4C46E183E0000000FF80F800000000000000000000000005C5150E929232822F2169C4D8CC2CA0000000FFED
F0000000000000000000000000C3A2C0E3BC51A42CA1414573260B94E2EB60000000FFFEC80000000000000000000000008E
286653CA2898C39428E392616965B39410000000FF14AA00000000000000000000000023EDA55649BD598CD5E2EBB54D2BC7
D2A9B59C2C9CA0000000FF41D300000000000000000000000188B28570D28A2232850526C322A360000000FF578C00000000
00000000000000056DA6CC3C2C00AC4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000008F446499AA50A1914A500000000FF9725000000001100000000000000059711553234A194C31590B0
D81A4E1151B64480800000FFC2A90000000000000000000000000594C9CA524A64C14533348595C8000000FF45EB00000000
00440000000000000016530ECF348A78C32AD6428774A932F2E204000000FF611F00000000000000000000000722A364B361
5A83A49491416965954C2CA0000000FF3EE50000000000000000000000000594D1E2642C33C519511790B0D81A4D163C9B12
D8B9000000FFE33A0000000000000000000000002248B2A4629661690B2AD62C9CA91830BF2E1CA0000000FFF57B00000000
0000000000000004249B28516C006C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8C35585745D76A9A07ABC5D5E88B55ABE5D760000000FF0FCE00000000000000000000000123E242238C40
80E20200000000FFD4050000000000000000000000055DB34ED2B44E5B48D1756D5775D5F55C52AECBAB70A1EAFAAECBAB60
000000FFACE7000000000440000000000000065EBAA28B3AEB55AB45D56AD06CAB43B259EB55AB45D560000000FFDC7C0000
00000088000000000000000581A2C821204C82A185304520000000FF706100000000000000000000000035D457A5C4B26DAA
D5A2EBB54D2B49ED68DB55ABC5D560000000FF14C20000000000880000000000000310903D43034850CA9871C0E900000000
FFE8B30000000008800000000000EC4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (59506CE28B20000000FF9505000000000000000000000000B29A3945744C3B3CE49B8736D09D07670E9C61
A690000000FFDCC20000000000000000000000039554390C20229827309D28B3289148000000FFFD0D000000000000000000
000008B270D90AFB364E1C3621C5B04522956CB118B2B2C8000000FFA051000000000000000000000000900481D902056C92
0118C0000000FF13E5000000000000000000000003901013B419112618024420000000FF9009000000000000000000000000
1112C13D1C805CD3481240000000FF55C800000000044000000000000006218619909219048200000000FF93830000000000
4400000000000000AD17EA36FA001C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (13949E650A14C8D8C6E18A1A90000000FF5ED10000000000000000000000004A4EC9BE518AC148C3B33728
512E2C389840000000FFB6730000000000000000000000004A41C9BC4CB38AF98592522D5285442B50000000FFA94D000000
0000000000000000004A865C51669844BC459488946B1440000000FF0F1400000000000000000000000000140000000000FF
E8960000000000000000000000434B1E400A00A44D20000000FF2A19000000000000000000000022C8C85658CA4590A32C8D
942EA0000000FF9D53000000000000000000000001CCA683C2108A4049B3484D20000000FF4B950000000000000000000000
0E51661440F3AC916443B96446009C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C260000000FF697200000000000000000000000014899689E2C9A378B0F284E2CA3492581DA30AC83B2000
0000FF558C00000000000000000000000050249A26258B49A326DCCA298A11B0BEB30B20000000FFD0760000000000000000
0000000CDCB0B1E4FA0E8CA7493045942F3483E5C45F5909E0000000FF9D3E0000000000000000000000059657143F3B2D3A
B24A88A0BE9959B75C516590000000FF763E00000000000000000000000165A78716E9738E53A0F859511443F4BD64992E86
E0000000FF801A0000000000000000000000005942CA67EA0FA83649429134BCB0E4991A5130000000FF96A1000000000000
000000000001FD0E186F145995005C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0422CA08A07FB08B6C9328595917914C526E968E3B03C59490000000FF7BCE000000000000000000000006
8C9B45C58ED925D1B05194CDCB6C3C92858ED81CA0000000FF51EE000000000000000000000044507DD1B389861D9243C76C
41F0A0EC47C6F961C5F0459710000000FF1F9300000000000000000000000E5A39713151FC42BA6E978E50A8DE22846E9165
0AE19710000000FF478F0000000000000000000000002CB0B668FEAA6C2CB8832922F2481F64507C2CA0000000FF25AD0000
000000000000000000051DA5131690B285942BD4B098B4A1A490000000FF1102000000000000000000000001446919E0BC46
28F626590CD27109D1B1587A5000DC4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2CA0000000FF697D00000000000000000000000B4822CA4A26901F0A0B29C3942CA0000000FF3154000000
000000000000000064505C28F6893289A4DDF761495B28594E1CA0000000FF26610000000000000000000000322D268C0F45
292B916F9171327299346C2CA0000000FF373D00000000000000000000000B485648CA4A1650A0B285942CA0000000FFBD60
0000000000000000000000005942B286525250A0B285942CA0000000FF866C00000000000000000000000165346C92307D8D
21650A0B29A3942CA0000000FF9EB70000000000000000000000E4527CC3A578C32E28EC46F114161B0A0FE22CA0000000FF
2CCE0000000000000000000000003C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B4935B0DC2629760000000FFD9910000000000000000000000111930FB395D364AC3BC42B0311C77238AD0
DAB44C87B6515ED16C90000000FF1D43000000000000000000000003B50F10ACB750E9B24C2752F170E524C5522C36198B6D
C2C3A360000000FFCA19000000000000000000000001289650CA320A50A0B285942CA0000000FF4479000000000000000000
000000503CD1F286500A90A0B285942CA0000000FF177D00000000000000000000000564290CAC468E90A0B285942CA00000
00FF6988000000000000000000000000564281E86519290A0B28594D1CA0000000FFA1E10000000000000000000000001590
4503D0CA3268C171A0B29CB26C00BC4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7952B1433A0EDCEC0F536143B03C562D187649B2D9268760000000FFC81A0000000000000000000000039C
4D343D69D178986CD062989FC9C6079868861FB41A3AA264BEA0000000FF0636000000000000000000000000213C470BC4FD
3AC64A224907188E8310E38A464C8615AA60000000FF3857000000000000000000000000924D966107D0A1749922CD28843C
26C9872B2D1B20000000FF56EA0000000000000000000000522CA67102F19E0614C2735C9AE3CA07145E40000000FF135700
00000000000000000000002223E88703C10A25CE2E7A7244709E330490000000FF0F13000000000000000000000006246D63
44D9F6112C2BA450A2DA978189007C4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF93A400000000000000000000000CBF5D560F851F6A324E9057ED4661866A357D88E8B640000000FFE1
53000000000000000000000062E19A879B867A3A2715B530DF1D5C3750F0301BA86AB86F8E0E19C460000000FF20D9000000
00000000000000000E58F2C42712F1E79C707471E590E4638810000000FF75BF00000000000000000000001AB28EACA3419A
830857ED4629866A357D44C920000000FF18FC000000000000000000000001760FE5A716F969D4B88C5364175988D102D1CA
0B460F866D3CA0000000FF9BEC0000000000000000000000121C461392484B0ACC723A0CA4C1004F8D0E4C20000000FF59F5
00000000000000000000000A5800FC4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (18800000FF4C6F00000000000000000000000039C0C6338D0705CE210828694200000000FF5F9000000000
000000000000001167145924D1679459251F44B88B38A285916488000000FFA28600000000000000000000000AAD85950CB8
8B4859508C9A36169C45A428000000FFA9F1000000000000000000000024388C274478C0E481C61C0C942600511B321C2000
0000FF8B46000000000000000000000011F7165D0B32D8712C5321E522C9228D1CA16550D8716C28000000FFE64700000000
000000000000003503D1D4328987E8C615FB5190E0825D46ACD62C0E07A860000000FF3CFF0000000000000000000000C408
27948F9001E402445310E0000000024040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFB1AA0000000000000000000000C4D0EF1845B45C5942CAE509A30E2D8505A428000000FF4171000000
00000000000000000A0FB2A983E145F687288EE9F2179E5C707CE1C1E07B8E0F8504800000FFB63100000000000000000000
000CB8F6865B48C50EC2B30C3088705A6964C9378C564C28000000FFFBDB000000000000000000000000B2A186F146522C91
11242AA61750AC8524000000FFC47000000000000000000000000005CCB2480EF1689898D34808C4000000FF498F00000000
00000000000000052EF1004EC42948CA24A100000000FFCFD100000000000000000000000440F6207A16881FC9870D9261C1
E21F9E4C741C6383E19B8E0F8500824040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (730000000000000000000000001652587971A0E930E2D9879711468D1B3472822828000000FF7661020000
00000000000000000113E5D3BC9D9746D13A3C5332E8D8AE2BAB6AB8A55D9756D5765D5B55D9750C28000000FFA005002000
0000000000000000000CBE2CBBA2FAB05F5D6AB1964DABC593FA9A578F0FD5E2EB357CBADC28000000FFF97A000000000000
00000000000916564515C9450B02B44907C28283E08B49C39428000000FFF39100000000000000000000001AB1E4DD17AAA6
0EB5D910EC2BA2CDB0A578B955E2EB357ABAEC28000000FFA9A5000000000000000000000000586F921D13C5107D4E170C22
DCA62F270D1B0A0B859428000000424040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0E2DA11290794C3CA0000000FF3356000000000000000000000002BC5D7665F17AB516F18690AF8B26F1C0
CAF172AA6B26A9B4AA68000000FF3BF50000000000000000000000016525870EC53443B4AE126C8EE914145B0A2999384C28
000000FFF276000000000000000000000001650AC90FC936C3C3B84594C31461C9B31F28536C28000000FFAF1F0000000000
0000000000000161BE4592C921D5B432928228E1CA70C1F0B28228000000FF8ADE000000000000000000000000D0EC16CC9B
81C9395087708B285059428D1828000000FF00A0000000000000000000000015B49B54597503E4AE9B0AFDAED537957CBAEE
ABE2EAF578BA8C28000000FF6000C24040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (291E9518650A250518ECD3AB60000000FF1EEB000000000000000000000000168E511C5A52B165BC69D6C9
18B61B8A13285326CC9CA0000000FF89E3000000000000000000000000AF575D9A6C9C36B49B250AF0BA6D114AFB5DAB098B
6AF272AA08000000FF63AB000000000000000000000002CA3613261959D43B25B1A732A1942CA0000000FF3FC10000000000
0000000000000AAF8BAED134B3C70B0EC2BA0F13570B26CCB34AFB5DAB81936A9A5DAA08000000FF77480000000000000000
0000006AEEBAB689B26A9A278BA370D07499AF4A36898A55E956D5744E5B55EDCED570000000FF6692000000000000000000
000002CA61E9D50746D124C53200224040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E22CA0000000FFDE18000000000000000000000005C394CB388B292A385D2149252272F26CA0000000FF6D
E00000000000000000000000005A3948D36A38A15A12194271443E165C5A386D90000000FF76450000000000000000000000
002CA24C9B84567148E532272F2C9A134A4A872C462CA0000000FF812B00000000000000000000000028B6451B4CDE3303C5
0CA07DA25285942CA0000000FF07A30000000000000000000001C9C30ED946564568D83D250E1B6450664CB21A472E2D1CA0
000000FFBA350008000000000000000000001C9C396964E50A2D938CA45E50BC80F8CB07DE1CA0000000FFE5F50040000000
000000000000000595964CBB1B00A24040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2F585164CD5659EB55AB45D5E0000000FF5A060000000002200000000000000248838279A4898C2C82E509
0D20000000FF5FAB00000000000000000000000155D975595E0EA47D06A5AA43A76AD1745D288CD77CEB5DAB45D560000000
FFFED40000000002200000000000000594C93881EA981450E2C990298200000000FFD511000000000440000000000001CB13
9001E0A49CC84400000000FF72F3000000000220000000000000E4E1CA85911C5F46489D245921B0B2F22CA0000000FF2828
00000000000000000000000B290E85B288AC8CA1A44721C9250B29879690000000FF847A0000000002200000000000000595
181D0B0B2992A0F0D217D2523200624040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000011384D839212441223593192880000000FF87AA00000000000000000000000031831004310A400000
00FF89F4000000000000000000000000920AC630844005724C40000000FF854500000000220000000000000040F8B9C71586
02F32250B900000000FFDAED000000000880000000000000AD175590F6EAAD9E8599745A3615D17559262B266BB3975FABC5
D760000000FF6CA8000000000000000000000072C4A51320E2C490C47727272010000000FF0F5E0000000000000000000000
72ABBAEADAA6C9A76ABB272A26C38662BB2EADA0F082BAB69BA2EADEAEEBAB60000000FF16A2000000001100000000000001
5A2EAB32D18ACCB459D68B655A00E24040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000FFCF5800000000000000000000004229049000E58F2840000000FF6E0F000000
0000000000000000044765E51224B2321424C2B0ACAD6488000000FF55AA0000000000000000000000024652525211869C69
A4A508000000FFAEE30000000000000000000000008B0321FD5C6CB96466144D50F18607916488000000FFB6B40000000000
0000000000010801470E9A2ED16D184E9441747C685716572E1D94354C28000000FF105600000000000000000000000089DE
444081416944965080000000FF37DA000000000000000000000084023C91A4E87E0CE5445746C284F1655B0D9C336C280000
00FF2FE000000000000000000000124040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000502D181E171B14CC8AE16C282C3688B28970CC28000000FF12C000000000000000
0000000000A43D93C511590CC4F0EC16E91470E548C594A428000000FF60A2000000000000000000000000A3EE43D2F9B24A
4A14151B3864DB224C28000000FF323B000000000000000000000005E488961D9B3D2591C518746D9165451BC428000000FF
5E6100000000000000000000000114114E4D8659CAE59250450586E93658616428000000FFA7C60000000000000000000000
0A091422B24A4A1461E53864DD244C28000000FFFF5700000000000000000000000014A523A4526C9494282A37C8B2A96428
000000FF00240000000000000000924040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E8E078781F2BA5BE529E352C98B61652459428000000FF8C3400000000000000000000000070DCDB8A86E1
A16C89B21F8450527CE1CBA8B29228000000FFDEA2000000000000000000000000E791270C30A20B64A08A24D960768E5430
9228000000FF135000000000000000000000000390473B8CA2D227609E5A451A3946361C5B18E1D428000000FF34E4000000
00000000000000001C9CB876291A9265258451A346D9149B44D19428000000FFC8FA000000000000000000000000A35185A5
03D21CE50AA4597116525D9428000000FFE313000000000000000000000000522EC9D0E8D8B2A1316C2BF59B15C55A2A3616
524C384C28000000FFF3E2000000524040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (12C50594942CA0000000FFB8F600000000000000000000000B285950CA459250A0B285942CA0000000FFE5
FF000000000000000000000002CA08A0FA1948B203E1790A0FA1942CA0000000FF6C2200000000000000000000000593A513
68E1B254F9437145311E99593464E50B2F2EBCA0000000FFF0F80000000000000000000000068B78B262DE16283E79E525C6
162F1A77CA0E0FE22CA0000000FF45390000000000000000000000075656D48C2F48D911B15F0AC5E433141F0B2D8DA42800
0000FFCBCC00000000000000000000000187C4F3A0E48D905A922597749A11DC326C17E1D7B05AB84C28000000FF9CCE0000
0000000000000000000014473000D24040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000883E16528749C36429A36298A0B29A3942CA0000000FF156D0000000000000000000000
0441F0B2830D2159250A0B29C3942CA0000000FF118D000000000000000000000008CA14174348E3A58CA1416504594283E0
000000FFAD320000000000000000000000004653874923A48CAC61B0A0B2A1942CA0000000FF26B400000000000000000000
001083E165250B2B194117904594942CA0000000FFD91F000000000000000000000002194634653328594941B488A0FA2394
2CA0000000FF1A6D0000000000000000000000010D270E5059394D1CA4A64D08B281942CA0000000FF55FF00000000000000
0000000008650B285942CAA69B00324040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (485A16840F41D14495914C829872FA2CA0000000FF92E700000000000000000000000014186E8C308E3202
490409260820000000FFDE4E000000000000000000000084941D1385C96407190C2BE2482524664C0F8920000000FFAC0200
0000000000000000000005941861315E98ECD1B226554D12285D215EB292DF9360000000FFA5AB0000000000000000000000
002C9CA49C1749C2736C2B44D929B93D150699867518B1DE22CB60000000FFC3450000000000000000000000044505D13CCD
2765994C3A86A45B0A0AC586C0F52E525D9326E830000000FFB858000000000000000000000005A49189428942F20BC72A19
4F1CA0000000FFBD6A0000000000B24040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000006A0263EA20CD46A8257DA8D5F6A34592A08000000FF1F26000000000000000000
000004468CA43B4E25C2D385668F1B1328228168E5347283D1AB60000000FF8190000000000000000000000000828CC33462
B0CE2727218A4B09C0000000FF9DBB0000000000000000000000019336CC9D764B272EA99876263B87031B395144C5B27930
EC10000000FF540B00000000000000000000000E4CB459D4C303D0BB8CCB268742CC81E25A3CA4661C1F2BA385A2643B2000
0000FF11940000000000000000000000486A68B2581C9708F68DA6626A381CD8D0784C5749D43D0C911D10000000FF3DDD00
0000000000000000000024CDBC00724040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000826494864C226163C86204944613920000000FF92480000000000000000000000009465B1
C566964C3B041794942811650AC82EC9A360000000FF785C00000000000000000000000196E7166A364E0781C24CD46A8257
DA8D5F6A34712A08000000FFDF500000000000000000000000012E7964470933118004474C20000000FF1D4F000000000000
00000000000D416ACD47CE2623351AA0F2AFB51ABED4659828AA08000000FFBFAF000000000000000000000062F88CB09D14
2E57858C20DD43D5CD5C3751D570DD4344E27C5570000000FF4B340000000000000000000000E44B9C7990E9740BA86C790C
79071E54C460000000FF965A0000F24040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (821992887050A26B650A288E08000000FF5B2900000000000000000000000050ED0CA15CA92522A61A4C9C
A140000000FFB0ED00000000000000000000000311D259A8C2B00648B2241244000000FFBC82000000000000000000000000
A6590105E52A59192985C520000000FF48C80000000000000000000000061F28A870792A184E12D927103C2F38A87C9C72BC
E389E0000000FFF90F00000000000000000000002941E071494948290520000000FF4871000000000000000000000008B2CA
2C910AF96590ACCD28A9459651648B20000000FF2BDA0000000000000000000000002CA64E511C5942B525284165E6DD6C2D
20000000FFCC17000000000000000A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000001AF1E4FEAE5D2765E5649B3EFA0AEBF41C5127C59768BDB59ABC5D560000000FF95EB0000
000000000000000000012F1CA3690A0CC63C2C643334165645942B20000000FF929900000000000000000000000CB16523ED
1EC15966083B057518D186C7CA6AEBB57ABACC10000000FF74CD000000000000000000000009B75B0B28A68824B32812C5E6
968D1BCCCD942D20000000FF86BF00000000000000000000001B488E8393643C3492FCA364A88A11D8716C2D2140000000FF
319A000000000000000000000001C305CA1A7F8B872BC388A6C40F0BCE2E183ECB871B9C391C84000000FFEE000000000000
0000000000000B2A19489A2669008A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FFBAA400000000000000000000000064E5E4546D0BC2C93385B924C4F158B0F2850CC2D360000000
FFB67C000000000000000000000000B2C3D1A37238A72259485E4D1CB618B2822CA0000000FF348900000000000000000000
0001B28A6C389648E505C8D89A43314165E5A3A42D20000000FF3F5000000000000000000000000AFB6B306D675B6BB759A4
CD47419BCABC5D7625DB59ABF5D6E0000000FF4FDC000000000000000000000000A2D922B241F148CAD948A628170C1F25C3
05CE1B20000000FF05100000000000000000000000089B2EADA66C9D56A5F6EA1E0F48B60DD538452AEEBABE83BAEA9AAECB
A960000000FFE6280000000000004A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000557C5D468EA6D9C62A26B45B2530C52BC5D76AF1759AB07CA0000000FFEE3D000000000000000000
0000061F11B2ADA0C2FA4A1448B4D9926C2CA0000000FF054600000000000000000000000578BA8D5B69B21ED84CC7EA673B
D4D2BC5D76AFB5DABC5CA0000000FF2E8300000000000000000000003955D93855364F4B4CCD6489A76A6BB55C520EC98B6A
B8A2DAAE8B9360000000FF846500000000000000000000000A1ACD30876165250A0B285950AB60000000FFF8BD0000000000
0000000000000A9B2EBACA14CB25332A11376CAAF0F4ABC58F60000000FFD2D00000000000000000000000005D4F1463B245
0416C12E115C8AAD993C6C2CA000CA4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1C85042CA851B5B2E24CA88A4593940F8516459710000000FFF04C000000000000000000000005461351B6
072859594A141652864381EE1CA0000000FFC0B700000000000000000000000B0850EF98654703669C650A30F2B9303C6CA0
000000FF89AD00000000000000000000000721407B668DC30A36699ACB19411521B460F9AB43D083E0000000FFD78C000000
000000000000000007214498B2A1059494281165187ED38CA0000000FFF2B3000000000000000000000001423BB2B2282926
661BF451C39461B25360000000FF095900000000000000000000001C8552C3867CB2E919870EC4AB6138A0B282282E0BE000
0000FF34A10000000000000000002A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000039568311E89B2291EAD82FCB266BB54D2B45D7675B1E8D8BF6D760000000FF81860000
00000000000000000000AC5904531678B030072838A69180000000FF5A57000000000000000000000007239187CF243E9240
022040000000FFC96D0000000000000000000001C85050CA8610B2928522C9CA043CA0000000FF0ED8000000000000000000
00000539950A164C5B14D2A1E289365A60750C898B60000000FF287B00000000000000000000000290D246E9428D92B22833
36529A459710000000FFD73500000000000000000000000390A22729C30A2669C25DB294684B61E5E798CC85C760000000FF
3008000000000000000000000000AA4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (904420000000FF29D5000000000000000000000007231E405C18624022744B7240000000FFEDAF00000000
000000000000000021D884B91C80490C00000000FF4E6E0040000000000000000000002B4199B7556E326D5E2E5335FAA695
FAEBB26ED0ECE5D760000000FF3E5F0000000000000000000001C82102316203D72C40000000FF75F7000000000000000000
00003955C519726D5764E955D9354C2BAB6AB8BCAAECBABE83AAE99A2E8BAB60000000FFBDFC000000000000000000000005
6831968C9629EACBBAEA66AB56832B45D568D1617A3AD560000000FF89910000000000000000000001C8541C4A8C83D2C410
A2309240000000FF4D3A000000006A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C4D200000000000000000000000007648D9232A22CA3289A22C916429360000000FF403B00000000000000
00000000623905C82720422474A2E790000000FFF09F000000000000000000000000078A49DB4A2CA26181E81C0FC6837349
B233A2CF341B20000000FF7E3B00000000000000000000000A42CA8B472A144C9618465D1B30F4A186E130000000FFEF0300
0000000000000000000031E4E4488E0C406372851008000000FF90270000000000000000000000018CA15905107CE1A36321
464F0B30F2859690000000FF16A7000000000000000000000039124490904C21C824431820000000FF170600000000000000
0000000031C439A9121C94314B00EA4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D22CB038A59F216C98469E98593CDD0CA0000000FF1A2C0000000000000000000000004A5A6D96C2CB41B8
759D244E1858B0F49870CCE58B60000000FF451300000000000000000000001CB106781B2161B66D94F5094374F1A453308B
60000000FF91CC0000000000000000000000006283B2E8CBB44CD941E51B6060A04512C99360000000FF2554000000000000
000000000002505E112C161E581DA754E933C8A2587050ECE19760000000FF263600000000000000000000000314A44D9E45
2EC89D24A88A0A0BE8962CA0000000FF0024000000000000000000000000000000000000FF4CED0000000000000000000000
0042861205C8291200000000FF001A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FFC15000000000000000000000000C548228A6A1A268D8DA4132441650A432A208000000FFEBF60000
000000000000000000E445D305C2CB8B0E1B81588F0C62FA4B476C8683C360000000FF322700000000000000000000000390
C72A46283E0B8E2D8515C0AE4324C160FC4586C2A360000000FF2271000000000000000000000012C2C3CB11B961D81ED1D3
C86665E288D5AAC8B29A307C10000000FF02B900000000000000000000002505FA316DB342C3F64CB52C25EB2C2386499934
5CA19360000000FF6A3600000000000000000000000314A16538728936407D09D16134458CC2A760000000FF80F600000000
000000000000000138CB0B8E1D009A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (164D1B2765045E50CA165045A428000000FF2CA60000000000000000000000018F20B9A384D1321646590E
D9428943788B29879428000000FF6A400000000000000000000000E52822D278C0F84F872EE116550C51956E9E4E591C507C
28000000FFDF0F00000000000000000000000024A263B8B0989966368CBB00F23CAD942D24A0000000FFDB25000000000000
000000000001C92C5C3A4CB46503DA3949259248712E1C36CB9E0F8186E070000000FF2BD80000000000000000000000009F
944FDE8C173468DF28FA8D20FC2882AB6238B4930CA0000000FFA7DA00000000000000000000000E49C695A1BC2C9E7E4987
0792C4688E1CA16526126E1000005A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000E431B20B46C94307C881E4A14841F250459428000000FF906200000000000000000000
00064D1811650A079107C290C37CC25D859428000000FFE2E3000000000000000000000019234830F20BB28507C2F21653B2
856428000000FFC8A30000000000000000000000009648B2648CC8B298F94290CB03B472856428000000FF5FDF0000000000
000000000000005CD182E2970D1B05939428C9C3644BB0456428000000FFCB3D000000000000000000000000EC6D2841650A
1D8A62C28650B2856428000000FF86B70000000000000000000000012910C91648CA1486523285A428000000FF26F9000000
0000000000000000018CA4590600DA4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6483174188CCE4E0000000FFCCD2000000000000000000000002542E921E9B2CD270AD0CA65D1B74E1187D
1CA949B2456228000000FFFDD600000000000000000000000641265C8D07D557849BC4536C115438B2E06A193E4F4721FA28
000000FFF6DD000000000000000000000001260BA3A92E9DB2B58560A0E1DB8F94B3179C5B397C5384E5B86DA428000000FF
FD1F000000000000000000000001C91942B258E534728521950CA08A0B8228000000FF9AB30000000000000000000000002D
F2EB24A151F34E2D8A62C264C1FE62307C2828000000FF15F20000000000000000000000002483E459061942D21650A38729
43942828000000FF6687000000003A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000007283F249878395792306663813889C617240000000FF679A0000000000000000000000012C2DA862
876AF4729930CF542E9BD455070CB81B271D8DA428000000FFB3110000000000000000000000843723D9B4E0F11E6596751D
164D0617E28F38D0617926181F0987A59288000000FF12D10000000000000000000000066C4734C10CD03A8781A87A1C3492
88C09D2388D4309F1061A590000000FF4D3D0000000000000000000000039D44BC71A683C41F3466D914261CACA329112C28
000000FF99BE0000000000000000000000313121A4C72471A0E082C80B206130F13D20000000FFEEEC000000000000000000
00000318368965943E1B83318500BA4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A33CD911DC951581F1560710ECD460000000FF5A1B00000000000000000000001CB16201E419A0E9042423
1180000000FF7B450000000000000000000000062AFA43BA25D468B288EAA2BEC2AC9661EA15D460000000FF54B100000000
00000000000000001748F138CF43A2C3750CCB8CF27138E866AE0BA87AB867890E1BA860000000FF7EB90000000000000000
000000014CC49E5CF388214F9E5CB1E4E71720000000FF5E210000000000000000000000032D5962CD460B2040A22B15D06A
C0E0C26CD460000000FF5D93000000000000000000000000922F9253B315B4729C794D1CB29D060459412CD1B48DA3942800
0000FFF80B0000000000000000007A4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2C40D66480000000FF5B750000000000000000000000E4950C5784078C393DA1A4E70C1723C85721C3E74C
0F1860FE20A1800000FFF5640000000000000000000000005840CD210B98452840000000FF39C60000000000000000000000
3145922C88E8FBC92685B50AC884B21D922CE28B20000000FFDF1E00000000000000000000000016505D9394C9CA918A2DB0
3950A1143BE61ACC2D20000000FFB0760000000000000000000000009288248533DC6104E8820810AD1042B2643240000000
FFE4A100000000000000000000000064E3B0A25C0EEB2365B059316C282C66171B05C59410000000FF8BC800000000000000
00000000072E507560FA0C936600FA4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E195000000000000000000000001ACB48B6D84E2B3CA2C85954B1790CC594882C260000000FF7799000000
0000000000000000041CB350D1365134653250F30CA74691A41C5942829860000000FF714500000000000000000000000E4A
182EA1A0E07F26183E0BAA643A0E2B82FC8BC9C307CE390F0B8E17A90E800000FF58DF000000000000000000000001114E5C
0D918CD971442B0B4A87130F3664C112C5A710000000FFADF000000000000000000000000234B036428B6444B0B4911870C3
6449A456488C000000FF667D000000000000000000000006204057E91F4172440C3751180FC4000000FF3DBF000000000000
0000000000019F58FA750BDC4200064040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000012B9683B45B5B4590ED7936EDB5DAA6F28DB5D8C76D76AF16AAA08000000FFB94700000000
000000000000000028CA4DB4D92C3B244DB05DC395131470C1FE5C307CE383E0000000FFD080000000000000000000000016
7EE46CBA3615F27A58B32ED2365D5B55C520ECBABEF5D5F55DD30ED570000000FF63B300000000000000000000000AF0EE8B
145B23DAB2BCCBA8E1F48EDAFF1354DB5DAACD76AF1659AA08000000FFBE83000000000000000000000000E997E9A0FA2281
E59934B34183E14258B2EA94C3A260000000FF698D000000000000000000000001146F936506DD68B24D966DAEC114A3DB5D
D5755D4755F574AA08000000FF00864040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B55ED36C28000000FFB9B3000000000000000000000000C3D216925422DD22CB8C20A452859428000000FF
A962000000000000000000000000E2C9BD6D44D935944546C67B49B2703236D768DB2DAA6D20000000FF0FE4000000000000
0000000000022683B261C5386DF4878A7490E1DC22B916505C50ECC9AA60000000FF35440000000000000000000000048A1D
84E2A3648A9D8566A1689B2D22833165245842C360000000FFFE2F0000000000000000000000390A258566AB6365245642CA
14C8B2926282C360000000FFC6540000000000000000000000030E4D98794B348C4B85C58EE0F68E504504ED1CB03B47282D
1810000000FF0F5D000000000000464040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FFFBF900000000000000000000003914930A29E353CA23A0F2919428D1D20E068D9C70EC28000000
FFCF730000000000000000000000019A2E1988797D44D3A6156F916513C5E48CAC8A2D89326C28000000FF67A30000000000
00000000000001A6C9AA6AD1649927AD269A168DBD76D7688A578BACCE343E5B57939428000000FF9B1F0000000000000000
00000074D14CC3D20BB38DB285C36165C45128D38C30D8879428000000FF867E000000000000000000000011369578BACDDF
6BB470B86D45D76499A578BACD9C2C9B54DAEC28000000FFAFFB000000000000000000000002945D1354F9314CC30B663C22
59268BA76859A43D9750D17A5100C64040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000021942BC0E1B26C15ED1A42BD61642B15121B32729285C4000000FF0D470000000000
000000000000021621E114C942EA841442C33432A19428000000FF51120000000000000000000000018794C98B644F5C59A7
915985932278CA63C9FC50D99724000000FF701C000000000000000000000000143B0A8D82F085688329DF429083E68E5432
8228000000FF10CB000000000000000000000000B8B8734C85896B50B20E0A292264F8639428000000FFB1BE000000000000
000000000000A0B0B8A95DA37DA4D85050291D20A1F7D9439428000000FF397000000000000000000000000B485941746524
E19461CA1430C1F38729107C2800264040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A8B2AA385ABAC5647546838AE1A0CAA225B3AD56AEB55AB418000000FFA4A7000000000000000000000001
D14E184984975904910B911E112F1E42B520000000FF5E1D00000000000000000000000630F964799D75A5C324E1BC616714
4579269DB4FC5D76AD1755AA68000000FFAEF70000000000000000000000014FB499C711284238D30C2F030840000000FFB0
7200000000000000000000000246271068303418A7EBA85D209AE0A400000000FF1FA5000000000000000000000001654325
C34CAA193B1B2C2890B4A169B252AD04000000FF2F570000000000000000000000065C95E26CF2942CA898B286649A245C3B
0B29079428000000FFBE7E000000A64040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000082008197208208912C920000000FF914F0000000000000000000000040EC8212C029A12114B
1240000000FF937500000000000000000000001892626369C0F0AA788349479C8880000000FFA00500000000000000000000
000C8576078863C8C311C2521925321810000000FF2DC60200000000000000000000015368B458F648FE2E9BA7546D6B2498
A6A4DEAF5D7F11EB5DAA68000000FFA7F000000000000000000000000085CA71E4881FCE34D28B231049250380000000FF70
950000000000000000000000055DCB86E1E4C3B44D13C7C68A689FAE6DA4F0F299B2619A0E8BAB70FAEAFAAE28000000FF95
8800000000000000000000000600664040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FFE4CE0000000000000000000000021E58F248E9623908401520000000FF394E00000000000000
000000000440417522C82BD5D144595B29103C88000000FF5CCF000000000000000000000018A90523A4184C26929642900E
349308000000FF542C0000000000000000000000126CC288D6CDA3A9164111E4A28511AF9A0D0BC4C36488000000FF021B00
00000000000000000000002CAB61B4329C344F9A45B42A793C4C2CA19B86198228000000FF0E4F0000000000000000000000
096443896C01730D80454890000000FF94D9000000000000000000000008CA165346C82EA37CD22D85524993670E5A519428
000000FFDB8E0000000000000000E64040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5C58746C28000000FFC8E9000000000000000000000000595168C5F81C876511264A88A06105ECB161B0AD
A7E428000000FFAC890000000000000000000000068E5445950F142B48D4C207CC384051B42C516C2828000000FF93F30000
0000000000000000000DD96642E950D1D7115E1B0CC0F950F2EC32B8586D0828000000FF7352000000000000000000000001
A3A4998E608BE89703CACA1B423328594A1828000000FFBA4F000000000000000000000005961169A5D52F0F4A85F32D0709
0744E544C5942828000000FF158000000000000000000000002D2EC2D2438BE92E2CCA4C2254D858EC2828000000FF002400
0000000000000000000000000000164040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (ECB2728285CB68B8607928228438661656454428000000FFF38400000000000000000000000032165A5439
6472364A0B9045A4CE1CA15C32D228000000FFF70200000000000000000000001CAE623E4650E5551395A936C91BE41CEB31
5C73379B428DB228000000FF07B8000000000000000000000000916E964E550C472FD154E5A52920D960761E543282280000
00FF9537000000000000000000000003348A2D98E83CBCB47299A6C32E97661E5A619428000000FFE0CF0000000000000000
00000002B272A56293626D987C3649649B18C532A1926A3F472A126C28000000FF1BF7000000000000000000000000597115
1B04567143D112D2A22896970E00964040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A0000000FFE75200000000000000000000001A31C307D0B28650B28505950CA4A0000000FFB53200000000
000000000000000008A259314C950D0B84567143E14E2E5622DE22C3E0000000FFD42A0000000000000000000000226C9C28
BB0F4A0E91B244E50B28308C393F0928DB22AB60000000FFC141000000000000000000000000B282283E46F5C5A394969141
4143BE619428000000FF20B100000000000000000000002276A2615A170DA30A16238A0B92C6C4F154FA70D2293788B28228
000000FFBE630000000000000000000000044DE346C87143FC743672342D90C728518F44D170E512F0730C28000000FFC46C
0000000000000000000000028100564040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000448653872E261CA241F0A0B2A1944CA0000000FFF98300000000000000000000002243285942B2
4A1468E50B282283E0000000FF456B00000000000000000000000779250B2E38CA68E51A0B2A194D1CA0000000FFA55A0000
0000000000000000000048C68E5347291950D21408B285942CA0000000FF8E5E0000000000000000000000014302D3C36849
B432B0BA6D11408B28507C2CA0000000FF5E7E00000000000000000000000420B2A24CA765E51724D0715CB668DA126C2CA0
000000FF08440000000000000000000000044E11B284D85950CB88A0B2A1942CA0000000FF67C90000000000000000000000
00505A50B24690B28505950CA400D64040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6346858C30000000FFE2B6000000000000000000000039854B0C319A6837308CE0F2F717B8F24C20000000
FFFD7100000000000000000000000546943DAB41D1A61A8E543298A8B1B64E53472B90EC90000000FFDFCD00000000000000
000000000426C9D0783A669DD27D592711D072FA99D2741A248DC386F0B169BC8F916C90000000FFC4900000000000000000
0000000154A4F29288B68595E65A4708B6138A05ED2EC9E2C5B03E996830000000FF9122000000000000000000000000A247
28C3948B28650A0B29639414A0000000FF4E42000000000000000000000000D3879865845948CA4B218AE59346C2A3E4A000
0000FF161B000000000000000000364040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A740F24540EC39760B460F92D1E360000000FFAE750000000000000000000000090E4750E4B6225A9C5721
842C10215851E484E0000000FFD2BA00000000000000000000000014C345A7943316565938CF9B73BF70328E287751C22DB0
356E10000000FF67EC00000000000000000000007289927038666999F839A757C28A1E38C0F48D1326591EA8C0F851F71000
0000FFC9D700000000000000000000003030CF153CD2198113C7309F1090D238CF950E23F62394F030000000FF70C8000000
00000000000000005289147D6511ACB330D964935428A746D48CC326CE1B20000000FF264D0000000000000000000000019B
607647893078A428A17CD21C9900B64040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (60000000FF95DB00000000000000000000006A2BED478B1459C4CD47A8C81F8ABED46AFA83AA08000000FF
2809000000000000000000000018D0648201B8093121A2009860000000FFF23700000000000000000000001AC0E8ABED46CC
868EB25D0666A3D477D2B15D4EAFA4AA08000000FF69120000000000000000000000019BD223A1629A47AB86EA1C229D8608
6EA1C8E6A60B93AB86EA1E66199570000000FF1830000000000000000000000039C45311C31F30B303E2038F2483CB38A0E1
8820000000FF6BDB000000000000000000000006A0307A2AB3518944CD461524AFB5180F2A08000000FF575E000000000000
000000000002A9147D81DD648C00764040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (40824A0A0C000000FFE54300000000000000000000000509686186931C090864105C8B7480000000FFB3C7
000000000000000000000006582F4C8E13AC36423F34B8607A2C9F24B960FD2AB81F49860FD2C4000000FF3C1D0000000000
00000000000014B941F53E48C224C0C40840000000FF04AA000000000000000000000011642B4598516442C8EB0A211EC887
648B20000000FFED5A0000000000000000000000005942CA151B11D0DA1942A9C0E50A1DB22D20000000FF15330000000000
000000000000121C864824B5324984E27844A47724C4604049C410000000FF909000000000000000000000000049E3616551
785E216C2B21508B699A3642E300F64040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (003568E55519453B51B19C9B59865E452B85D774DB5DA3C5D760000000FF31930000000000000000000000
048B2F3AA3688A6DB2F50750E524502D183E858B2C46E183E0000000FF5579000000000000000000000000DA4838A617B0F2
A3EE24B27A592E290CA238B2B22D20000000FF561300000000000000000000000E182F4A860B9C79447C62765C303C2A0E70
E1F65C387D970C1767948C000000FF8FA200000000000000000000000A1DA4644C2CA482CA470B1E4C48A4DA10EC2CA00000
00FFFF32000000000000000000000000165B279A49E2D3332CE30B91449A8D91316C2B20000000FFE1B20000000000000000
000000394284276723538A2624000E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (01D1CA278B2D06538B61986E4DE0F0CA148650BC59427140000000FFF81B000000000000000000000002BC
5A428770DA4DA24EC5B52EBB4452B85D7E8DB5D986E5D4E0000000FF0AEC0000000000000000000000622CA23E54458CD905
C3B0BD1326E3314165C0C5942768C0000000FF4EBA000000000000000000000011368C1F4ACD34EC4B65D3B0CFD86E1C5D5B
4DC52AECBAB687B2EADA26CBA860000000FFDD0300000000000000000000006BD1CA578A5FF2A5CA8E32D064387688BCABA5
D764F975FEB6D660000000FFC1B4000000000000000000000000916581DA386D81D30E21991942F270E524507C6CA0000000
FFFFA600000000000000000000008E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (28000000FF57B100000000000000000000000D5D93A51384D3B51ED46C29B6A3D255C539756D0765D5B55E
DAEC28000000FFF0AC00000000000000000000000B2A868CA1590B2E20856141650B2AD56C28000000FF4742000000000000
0000000000054D86CCBB49B44584C951B43B54D056CD764DDB5DAA6D20000000FF5583000000000000000000000000155324
5940F153B02E49D22A36150A5E45A4C9E360000000FF9BDC000000000000000000000003272F28C778B2728159341C3614C0
E52459428A60000000FF10CA00000000000000000000000596165D1B14CD940B24A20F89E28148C1F3474FAE1CA0000000FF
31370000000000000000000000004E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1B0CCD658B217104544C154E5A5A307C28000000FF47890000000000000000000001CC462E3F8A0E8D9B39
447141F13485E41B591C64F9C36428000000FF16B700000000000000000000000016526107E9365430D928501E2CA08B29B7
D428000000FFD84D0000000000000000000000005A417165445942AB74C0E485122B24650A2D8228000000FF424F00000000
00000000000000015E2EBB24EDAEC73B6BB2168D96607EAA9A30EDAED5E2EBB54D9428000000FF1255000000000000000000
000000B6DA186D9462B5BEB2A8C295159251942828000000FF71C000000000000000000000002ABE2EBBE6DAEE1A2EBB21E4
A2CEAA9AD78BAED5E2EBB54D0400CE4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4D0508800000FFAA7200000000000000000000000B650B49114D13865450D9289E28DB4B07050504000000
FF1AE4000000000000000000000005B4A262D38B0F48C70CA71A1330E5A03299305C0C000000FF9084000000000000000000
00001CF22D288B4D2282C0E1B1926DC51169428DD22828000000FF3DAD00000000000000000000000A0FD36923643B42D139
794B08BA39A304B90D07B690A890800000FFC1F600000000000000000000000008B0DE22A184E566107C6429692642C905C7
A428000000FF831000000000000000000000000E2E505C5641716542C94851C302D8586C28000000FF132500000000000000
000000000E49B49B64DA3E171E002E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00001CEAECBAB685B2E9DA67C9CAABB2699A92ED5714ABBA61DC2CBAAEABB262BAAE28000000FF71FE0000
0000000000000000002B4589617EB15D4755D56CEBA99A2C93A0CAD5755ABAD56AD1685AB418000000FFEC9D000000000000
0000000000149209CBA024260823483C90744D08000000FFDB97000000000000000000000072AD0F0C345B249EA49C28CA11
84AF174595FAC66AD1625AB418000000FF1DE700000000000000000000000550E9E52303D8D2C0DC80F0A509364660000000
FFE93B00000000000000000000000E40794331E463D38A930F10C794A220000000FF40990000000000000000000000072165
5B4C3AB943E5034A30A214671400AE4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000A8200E202139546120000000FFCAAF000000000000000000000010EC85D41D6522C9
93948F24B27A8526D970C0000000FF8FD900000000000000000000000000208044E400000000FF5FA3000000000000000000
0000000C7C9118C21148125C80000000FF25A90000000000000000000000003F91925CA20017C49310E010000000FFF8EF00
000000000000000000000026209684C54A8672C5070620000000FFCC91040000000000000000000002B45D768EAAA341C36A
D17290DAA6F2AF0E078C745C76AFD74DAA68000000FF9DB800000000000000000000001C82104904FC926321824720200000
00FF15F5000000000000000000006E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000061E5C45948BA36B6130B2A0F4A9371305C5722A10000000FF58E10000000000000000
000000016541ED943386550C50594050532C3E20000000FF00E500000000000000000000000000002500000000FFA3220000
000000000000000000434948054842292500000000FF3476000000000000000000000001164881E46133AC851111642D2140
000000FFAC7D00000000000000000000000A3C2040521098C86120000000FF9DF8000000000000000000000008B34A2CC868
81E45922CC2886324D918D142F9440000000FF0A5400000000000000000000000061E5428D936CB09B2913942F38B268F963
44E950C0000000FFDD0800000000EE4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (61050ED14417D3872E562C20000000FF0AA8000000000000000000000018726C6344E55ACDF2E183EB183F
2A10A6C9B26C9C2CF9283820000000FF454F0000000000000000000000016520F2D28CA70E5232913051C3942E20000000FF
36CD0000000000000000000000001655B0DC262CB4F460B8594CF38B27492312709860000000FF27E8000000000000000000
0000018797986531E4DA4649B916541C05C552282910000000FF6DBE0000000000000000000000030E5DC4FAC368C3285961
61C5B42D0B066F39274E0728381920000000FF331F000000000000000000000000AAFA253CB95C3282D1FBC8B4D30B114138
72FA2820000000FF484A000000001E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A1D85422CA03E500000000FF72B3000000000000000000000001A899F41A13145B3860FE22CB48A30C5C6C
4B94E500000000FF0EE70000000000000000000000015218AA4586C91592328562C9CAEA0F290F0E20000000FF7CFF000000
0000000000000000005250F388A8D9CB42C88B6A1A20A15B2A1CA840000000FF42FA0000000000000000000000004A1650A1
9A1642CA918BC822CB4C32D30E20000000FF9DCD00000000000000000000000724A30E50A8991343C1145B0AC587D2C47D0E
56612490000000FFBE2F000000000000000000000005B27C39453141742C459594408B2993942890000000FF3D7C00000000
00000000000000046C6CAB417F009E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000048E545A39428A1CA14144A3285942CA0000000FF1D2000000000000000000000
002107D18650A81C59411415218B29107C2CA0000000FF1E3B0000000000000000000000010CB48B2C2282CA1490A0B28594
2CA0000000FF5FF00000000000000000000000001414148650A0A23291942CA0000000FFBF8A000000000000000000000005
05022F28650A0A0B29C3942CA0000000FFE9540000000000000000000000001144A4622445A41841416550D939468B600000
00FFDE4E00000000000000000000000E58752C388A4361E50B88562CBCA0B8B2C22CA0000000FF40C7000000000000000000
000005C0F0714184D8795117D0005E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5C4F03436CA0000000FF66900000000000000000000000046D26C3342E1BC4530CC3AAC53CAF03330985EA
6482E2DB2D3CA0000000FF23540000000000000000000000016543285028D20C20A432A1942CA0000000FF5CF50000000000
00000000000000465A4596145038AC85C42895882CA0000000FFAAA70000000000000000000000008CA1650511C394281140
A542482E1CA0000000FF64E600000000000000000000002328507C02D1818414865632822CA0000000FF8C50000000000000
000000000000883E16501650BC8228150E5432822CA0000000FFB07A0000000000000000000000048E534728521942F21409
872910EC2CA0000000FF33F70000DE4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (001CD261A1630C0FD5141C43A16EA3CE28F26199E47916449B20000000FFB8600000000000000000000000
0012610AE235067A4CC65BE4661E0E60E13A671181E19D0EC820000000FF47700000000000000000000000052881E44D9E51
16930C17029C2C7528302E1CA0000000FF12AF00000000000000000000000048A0FD246D919205D0742F9242B044E0788A6A
1CAC80000000FFA55A00000000000000000000000B28C3668364142B464364190247E30DC860BE20000000FF551A00000000
0000000000000000B4DE062CA998A19C16428291A49B849226D1A360000000FFDC32000000000000000000000024368E1B87
A346F0B35EB0B326EC466B4B26003E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FFFBF500000000000000000000000C5C3750F2B0DD4355C1750F17A4C3A43727573570DD4351C17C
68B240000000FFA73F0000000000000000000000724E2C7148622E63891081E1372C40000000FF521C000000000000000000
000032D944CA3AB28EAC82A1825457DA0C15C47C80000000FF4CD0000000000000000000000088DD660F69D92205D962368F
104502ECB8A28DE47E1CA0000000FF49C6000000000000000000000000189C950C9264709C991C950E930AF03041E4493479
0980000000FFF52000000000000000000000000859396AB64C9B1A4CF8B16C0F164344CD7228B659F470995EC3A760000000
FFB99F0000000000000000000000BE4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000001164889789260D28B2A190EC9243A86450B42D10AC8B20000000FF9A8300000000
00000000000000029361650B8C22AA68654260F485A42CA0000000FF2590000000000000000000000000627251392258288C
33A0E925298085E8C568643240000000FFF7A700000000000000000000000251673345944520E8877C8B290E2828B7CB4728
2E8CA0000000FF632A000000000000000000000006AC90E6487C0D9A8D911F1ADD9A9F972AC86A1A225C466180000000FF61
BA0000000000000000000000003C827181F92204278C3880000000FF966C00000000000000000000006BED47AFB51B3ED4E4
B283A2CD46198AFA77DA8F5040007E4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (950CA0000000FF038A00000000000000000000000141F0A0F9C711CE383FCB862BADE5970C1F3868F856E1
8760000000FFF9EF00000000000000000000000B2F381B778A26E85A42CA61C16551C50A2CA0000000FF0038000000000000
000000000002876143B0B085A42CA48A0B2997C42CA0000000FFE28C000000000000000000000000620C8203C89C59051E99
433120000000FF938800000000000000000000000016503B2592513238D20E3C80B5A43B00000000FF765B00000000000000
0000000000881ECA207E2A1C0E30C3F45C305CC390F4B87478C755C61CA0000000FFDD890000000000000000000000004690
052092922600000000FF959B0000FE4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (F28597985CA160F9C307CE1CA0000000FF4041000000000000000000000008BB26ADAAE89A36A18AC5D0F9
55768E555C5656EBABEEFAEADAAECBAB60000000FF627C000000000000000000000001AF175FABC5D7E8C255CB4BD1A8C1F8
615570866ADB5DABC5D760000000FFACDA000000000000000000000000961C1F0B1DB3418295E46542607285942CA0000000
FF06200000000000000000000000D6D26C859365BC2A1D1967368E516CD556C7B2757F5711ABC5D760000000FFBCA8000000
00000000000000000165A4C5959441391E6991E2CA48A7470D85842CA0000000FF708200000000000000000000000C7870EC
9C1C3B606645A50CB0C242298500014040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFE0DF00000000000000000000000578BAEF9B6BB42D51945B1652424578B8EDC5D760000000FF96A30000
000000000000000000001652CF0395145CEB8D92C9CB0889F20A4DB22CA0000000FFF7D20000000000000000000000001654
3285E289442B4683CED9C0CC2CB30B2A462CA0000000FF63550000000000000000000000039145B061954189442B232A1127
0D2299A8D82D1CA0000000FF69DC000000000000000000000000165430DE30B945D1B05A3950A0786905A3942CA0000000FF
227D00000000000000000000000ADB4DAB8597745BA32D23955365AACD136D6649DB1DABC5D760000000FF3A2B0000000000
000000000000245950CB8A862000814040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (64516C2CA140000000FF3C6D00000000000000000000001C9C39491CAA9C2428D919417179E5A326C2A364
40000000FF6CB2000000000000000000000003957ABAED5EAEBB4224D8F44C8FA2EBB30C53AC7C36AF179DABC5D460000000
FF83C800000000000000000000000165C4595914868B327292699153B0B296741CA0000000FF2AC000000000000000000000
0000AF175DABC5D76858A76D12D1B6BBD451976876AF171DABC5D660000000FF551F0000000000000000000000D5DB756C93
4DD5B30CD2A7858770E07ADA262923E5E555D9756CCBAB60000000FF16BD000000000000000000000001650B284E2B916514
D197915C8A4D85942D2000000000414040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000E4E1CACA870DF232C3A378E072C2292151B44D86D08660000000FF285C0000000000
000000000001C9C394D183E36836A8D936C3688A0A26CA64D43744794530000000FFAB350000000000000000000000001650
B1D9262924D07285C6C2A0E61C1FA450289CA0000000FFCB7900000000000000000000000596161C1FA7035292D0A3614169
BA59316CCCA0000000FF467000000000000000000000001C9C395170C5B86109EEA578A21D822ACE6EE55A1DCB0D20000000
FF6C210000000000000000000000E4E1CA70E50B885942C7688BC8507C283E30C0000000FFD3CC0000000000000000000000
005942CA3425F8E2D92283E48A00C14040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF38480000000000000000000000E5881263082014A1A71C40000000FFA7910000000000000000000000
072AD9755A36A5D567DC2A5126F144D1354D2BC5D5661B31195E8CA0000000FF1660000000000000000000000000595234A0
41781007226D0820000000FF319A0000000000000000000000072C490C11A7153E9C8C00AD2F2CA860000000FF86A2000000
00000000000000000E4E1CA70E5220B0DF22C3614144D96145D430AF912C90000000FF39E80000000000000000000000002C
A161B10E659346F1165845C491650DE1219690000000FF5A48000000000000000000000000B2D30CBCA1430D913A42891650
AC5950B520000000FF9C05000000214040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A4618C042000000000FF853300000000000000000000000310240A5094A12100000000FF0A510000000000
000000000000396203181D154C1007108997CA20000000FF351000000000000000000000000C448231001CF34E20000000FF
74C30010000000000000000000000AD1755ABE5D57A8AD6D956D7F559BCAB85D76AF171FD28CA0000000FF01070000000000
000000000000E58B1240C8E9E40E6918C460000000FFCF9A000000000000000000000072ABBAEADAAECBAB6899A45D134CD2
F65D5B55C52AEEBAB7ABB2EA9A0ECB9660000000FF1A2F000000000000000000000000AD1755F265D568D06768B651A0C2B5
6832B45D568D1755ABAEA0000000A14040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000002500000000FFB255000000000000000000000004348120002500000000FF96
D900000000000000000000001D65088B28483C3B45C3E48861926440000000FF1DC5000000000000000000000000E7900B84
2050C25C80000000FFE81400000000000000000000001166947E3186C828941856454A309D671440000000FF8D9600000000
0000000000000000165326A452EF03161A58746CD9B476CF1326A9E22C20000000FF52D90000000000000000000000006416
348008009040000000FFF5C1000000000000000000000004595A6519683151A58746D682742F11A69DE28C20000000FFDE32
0000000000000000000000000C00614040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000002CA448650161B0A0A08594C9820000000FF34460000000000000000000000001650A9
0E107CD18D38B7818A30E88521D9A0C0000000FF3282000000000000000000000000B2E068C249C32E28A1149B445E4C364C
1A4D451340000000FF82110000000000000000000000002CBCC2232A3082F74E1B0A48DC7169E564A78610000000FF067A00
00000000000000000000005959850D072B3A1C4629E161F85051A346C968C0000000FF0DB700000000000000000000000016
5F5140E883FCB0F8A0F6A3708BCA0ECB82927140000000FF9463000000000000000000000000B2C28A2CA32996282CB61492
4552099840000000FF00E5000000E14040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF4C0B000000000000000000000003973C8A320F851EF46E11588B09E3971440000000FF6DB70000000000
000000000000000548E2B4A44942C5942A248A6459683440000000FF895A0000000000000000000000018707C2A203F4A249
C59428282CA440000000FFBFB3000000000000000000000073E187623C321A248F6441A4D97164CE1B0F818E62B364400000
00FFE41500000000000000000000000028BE0B0E54C0F987C42CAC8B0850586E1140000000FFC4DD00000000000000000000
0001651A25291122CA141469D3BA457510000000FFAE0200000000000000000000000059429083E4413B262DC2282A878B86
1FF3A920000000FF1A4700000000114040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00001654253282E18D1CA1468E5061948CA0000000FF74B700000000000000000000000B28512A22891650
A0B4A1942CA0000000FF2F8B000000000000000000000000144A44165420B4A1948CA0000000FF34EA000000000000000000
00000070C03E441650A0B4A1942CA0000000FFF250000000000000000000000001242242DB03054EE184141432E22CA00000
00FF2B170000000000000000000000039A50785DA322A42A965E5A45622C38AC72D2C3A360000000FFB58A00000000000000
000000000CA2C8AA0C930EC882CAC8A0A0B2828820000000FF9D3A00000000000000000000000E423C224C3961304258A1D8
A6C982E064B0F2B28A2000000000914040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C5026CA61A6E2D91FCA760000000FF11A5000000000000000000000005950894282CA1416906194B1CA000
0000FF2CA900000000000000000000000A0F85E419CA08AC4594282CA844A0000000FF14D000000000000000000000000059
4E1894282C361790B283090CA0000000FF75B800000000000000000000000141F0BCB19488283E1416905239410B60000000
FF8A16000000000000000000000005942807E83441650A0B4923950CA0000000FFC932000000000000000000000000B28502
94D18168E1B0A3472A19488760000000FFC582000000000000000000000005941140A50A04594282D231A872822CA0000000
FFD2EE0000000000000000000000514040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (60000000FFC326000000000000000000000001240A0F8820832FC2D9F230CE137295C23182241020000000
FFEAB40000000000000000000000008B213801F092708CB2894CA25A34EA619360000000FFD8D10000000000000000000000
01228764982624A07C54A1158A83854726F9C0C0000000FF66E60000000000000000000000000419221C83C9A088972D934C
20000000FFCFC30000000000000000000000049B370DFA29A3665A8C52D94291C0E52455248760000000FFCA4C0000000000
000000000000090DA386C27142986E8DEA281F4E504556691E77EA468C870CA0000000FFB431000000000000000000000047
8B17F548C8822DE268941C3B8300D14040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000062E1BA8E958A782EA3A2E2261BA87AB92D5C94AE0BA8E4980000000FFB37A000000
0000000000000000072C581E23991F1E58F2C7939841E61C40000000FF8E8B00000000000000000000001AB28F2506CD4788
F6A35412A08CC46080000000FF40EB0000000000000000000000223744BD453264931468E505D02D1CB07BA8D9341CA00000
00FF2D3500000000000000000000000188C1C4148EE4272D3C790343258580000000FFD169000000000000000000000002CB
038A449952B44EA371F2B26A83B4338450CC6CA0000000FFDDD00000000000000000000000072C9A607B24392C59507CBA61
81E8A3CE26181E490E07D249A100314040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (10824C208410E45300000000FF6857000000000000000000000003145910E10EA51861E5944A7116449EA4
40000000FF62FD000000000000000000000000C8B2B03622C24E0625420A8DC22D2140000000FF2447000000000000000000
00000188C111C91CA478F2C409204C1C984188C0000000FF4AB70000000000000000000000004A225A26284768C844A14759
C519523840000000FF1DB50000000000000000000000032D94413512EA346C12BED46A825414A25C462D80000000FF2A8500
000000000000000000002439009040238B1040000000FF88F900000000000000000000006BED4E198257DA8C2BED46A82564
312CD46040000000FFF48D000000B14040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000006C378D065A6190E88534A158A264985979140000000FFFE3E000000000000000000000000
A1FA9E4E1F2B0F9620B9181C25C264B860FF2E1990000000FF919400000000000000000000000059611449A88931486C8B18
510AEB2C9A20000000FFD154000000000000000000000001656060E26C244E49B38621227619262A20000000FFA212000000
000000000000000000490E07801088507164124179507190000000FFC0D4000000000000000000000000B2810401044521A5
103C5B00000000FF092B0000000000000000000000004A20785E4930C8764D321D1C61723C8C3D46190E74C0000000FF4BE0
000000000000000000000000C800714040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (AAF575DD06D5D460000000FF5F2D000000000000000000000000507C5314140942A4B68D965A394168C000
0000FF292A00000000000000000000000ABB26AFAAE28175D4744C92AE0BAB7ABA34AAE49C96ABB6E6D987CBA860000000FF
0AEE0000000000000000000000D78BAED13414191D1E50B12EBBAACD22859A661DB9DAB6D460000000FFAEC3000000000000
0000000000187941140B4688EA3E4118E505108985969140000000FFCC86000000000000000000000000CBB49B42326A1A26
8847F6BB568311F839213B571195F6D460000000FF7E1100000000000000000000000048A2DEB08C382B448A5347C80A2E2C
2140000000FF419A000000000000F14040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A195574BA99326ADAAEA55305D1B659756D57140000000FF09D00000000000000000000000001650CC5949
5471650B285190EC2CA140000000FF9995000000000000000000000002BC5D76538A54D01E289553457DB4DAD8ED53400000
00FFBBAD000000000000000000000000B2D34182B114CA4691B29666936140000000FF8E2100000000000000000000000165
0A0A21D119D85050EC2CA140000000FF97720000000000000000000000009C596C282853444A441723A85944B340000000FF
D4C40000000000000000000000001650A0A81D112850596C2CA140000000FF8DFD0000000000000000000000D6D26EABA0CB
6A346155715D7755D860BAB55C00094040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B560FAD09C0FC0E55B1DE22D20000000FFDE8B0000000000000000000000039387285A44CA4650A8D820CE
A3165C45942CA0000000FFABE0000000000000000000000005946D24A43A329A39751488A2D8526C2D20000000FF4D6D0000
0000000000000000001C9C3942B86525C45A42CA5227A1F285942CA0000000FF40300000000000000000000000155F175D8A
2CAA832CA2CAB6BB24D5283936AF171DAA6D20000000FFCFFB00000000000000000000000595516525251942C3652845B74D
942D20000000FFFE2C00000000000000000000002BD5D76365541942CAB6BB54D3B81A36AF175DAA6D20000000FFF5B40000
0000000000000000001ABB6EAD00894040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (611610B28668390CA0000000FF5A8900000000000000000000001C9C3942B20650B28597164145B5A91A46
2CA0000000FFCFDF00000000000000000000000B2A112E906542C8597922143B0B0D859610000000FF77C800000000000000
0000000000168E54215856979C2D90A8DBB6A18655A5D9862D20000000FFA9F100000000000000000000007270E50AC92EA2
93669E5E4505964B1792459510000000FF937E00000000000000000000000245956B2F45B0B28595C8986550C5842CA00000
00FF436D0000000000000000000000622CA159E69950B2CB97299059141F458F4FCF1D20000000FF1EB40000000000000000
000000E4E1CA64E5622DF22CAC00494040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E7000000000000000000000003955DD756D5761C3B0AEAD98714D5765D5B13E1267ABAB6ABB2EABAAEC9EA
60000000FF7489000000000000000000000002B45D57CEA1582CD568EACAB45D56ED07CD2AD568EB5593AEA0000000FF1667
00000000000000000000000396232894EA85926528C2052080000000FF89A400000000000000000000000AAEABAAE142D0B3
3558B6D55E2EAB0CC12876D762BD171192643CA0000000FFE092000000000000000000000000B295EC404E04052464226D81
C460000000FFC8DE000000000000000000000000394442C9210B14C820AD28674C20000000FF842900000000000000000000
0000B472856714A47B264D987900C94040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFF046000000000000000000000015588D9C8020E4220A20000000FFB8C500000000000000000000002328
32605104C9E7EC8A9184F6936445B2459590000000FFAB2B0000000000000000000000005408309C206597265480000000FF
C7D50000000000000000000000000990E4149846220F883480000000FF0AD700000000000000000000000E588C0C4B9E4242
92410E4860000000FF4C5700000000000000000000000671099D261844C09C0420000000FF51D70000000000000000000000
0AD1755AA6B266BB11C507EABF2EBB24D236D76AD171DAB45CA0000000FF700E00000000000000000000000E58833E409CF2
A1839E491CC20E20000000FFA700294040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFC22100000000000000000000001CB862D8A4590F031588B2D26581D388AE459590000000FF0107000000
00000000000000000594442C2C8A0B0DE22A98493461122CA0000000FFB79100000000000000000000000000005025000000
00FF65D60000000000000000000000108480200A0C6500000000FF7598000000000000000000000008D208E06A0C88A4D825
49184C2CA0000000FF83CA00000000000000000000000257C9080286420220000000FFED480000000000000000000000022C
B106946872228876461888D683A3EA2E8B20000000FF859C000000000000000000000018707CC8964C131E8A2EBABF4C2A18
9EC9A3663C5312C5A69000000000A94040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000D95482C21508B8D82A5961C9BE58F94D5C360000000FFB7AF000000000000000000
00000C52B914C398E1464C1F84532C9CB749878DD2E5C260000000FF1BA7000000000000000000000002503CC4505050EC22
2CA30C3B2450ED90000000FFCD46000000000000000000000004B4C26085022A1B4DB84A0C516E935070CC514D90000000FF
5B4700000000000000000000000394A42161A0C5442CA498AE4597116112CD9590000000FFCF3C0000000000000000000000
004714370412D8718C29269E1F245E5964D9B1262D822D20000000FF393100000000000000000000000B4A505046B154325A
396102CA88A8DF262CA000000000694040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000526C9041484318A4D85059561A36140000000FFD0A6000000000000000000000001
B09A9057B0F2141FA79A6964C9BF4C326C5371C0000000FF90FF000000000000000000000003872A9C42A284B650B4B2F9CA
4A32896C3D20000000FFBB570000000000000000000000059409C2A20BC28C36CA52165451946D20000000FF101100000000
0000000000000001C394022C21488B285A56CB0A20FC662D20000000FF559000000000000000000000001C8CE6D2EB109CD0
662A2364E7B84F9A47370E1B19FA394D3D20000000FFCD0F000000000000000000000003872A86CB1158E070E22C3E14161B
232C0E2CA0000000FFEBD2000000E94040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (45942CA0000000FF98070000000000000000000000251942CA365420450EC968CCDB49C3942CA0000000FF
CB6E00000000000000000000000946905239791650A3A62D85694176CE5045948CA0000000FF55C200000000000000000000
0008CA1650B2C2686CA3690B48586C8CA0000000FF6FA900000000000000000000000B285942CA84165290B485948CA00000
00FFF886000000000000000000000001650B28595082CA16938749C3948CA0000000FFBB5700000000000000000000000011
5132161D85961384165290A885948CA0000000FF0FDA00000000000000000000003979E511C5942A368464C9B0ACB36F2299
B285643CA0000000FF1554000000194040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (335D3B88E23506D9A76C8A0D47C9CB60000000FFFBE8000000000000000000000001C5D34C34D062CA4392
68459432C3CA53B262DFA29360000000FF6CE6000000000000000000000000282E8650B28288168E50A0B485948CA0000000
FFCA440000000000000000000000021942D21650A2A72851C307CE382CA0000000FF45E300000000000000000000000048C1
F0B285941140C30D80B285E48CA0000000FFCC490000000000000000000000028728505C2CA140C3283860B9E3942CA00000
00FFA2AA000000000000000000000010CA141F0B2A269A1CAC9A787485948CA0000000FFD1F2000000000000000000000001
194168C1F0B2A10586C4CA165000994040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF7F950000000000000000000000E7134FD0BCC36613440F448711C932C0FC4C32466581F0A207A1
661B20000000FFB06D0000000000000000000000010CE916C0EE1A64319C5195D237A15C67E88E19824E20000000FFDA2400
000000000000000000000C51430FA0A2CCA88C346518B26CC2E1889360000000FFEC36000000000000000000000014505101
02F447240A061830DDA0C460000000FFEFCD00000000000000000000001941C50788912E21790C325916C37640000000FF92
26000000000000000000000070F284F6CA68E51487118EC93428B282D1899CA0000000FF0BEE000000000000000000000001
81B870DE46DBCA158B0DC668A300594040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0100000000000000000000001AFB5185412A095F6A30AC12BED4619C9791ABEBA0000000FF0A7800000000
000000000000000C5C33022AEE54B88CAB86EA1E8E490F0DCC3045750F5705C0000000FF73070000000000000000000000E4
0046263CB1E58F272C40000000FFB31C00000000000000000000001AF308541012BED464C0ECA215A22985711AA0B8600000
00FF7862000000000000000000000040E6593608AC8597037430E5A0DA37228B717C28D8288760000000FF25A10000000000
000000000000044623E20496024BD24192C90703015820000000FFB7D60000000000000000000000021149B845942CA298A0
A1DB381828A78B4719C0F6876000D94040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000031F923CD2E1C0E01EC41F20FCBA29C3E30F87A4C33929000000FF3B4E000000000000000000000000
A406613C6458A211851300000000FF358000000000000000000000000314595066515243B23230E1C5EC243B2440000000FF
DB1E00000000000000000000000059428C3E2165648DA12169489D2140000000FF8EF2000000000000000000000001119204
0242585866C824B2701E40000000FFD81F0000000000000000000000728CA4414159250A25A5A346CEA860000000FF5C4500
000000000000000000000658975385412A095F6A348E13655F6A385988D583C0000000FFB096000000000000000000000000
912010064C421C00000000FF0600394040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000072E59368D3939A342E2D24A8B470380F07CD1893240000000FF411F0000000000000000
000000004B0E2989741828916911D28504D8526C9840000000FFB18C00000000000000000000000E184E13927C9C3E4282FC
E28FB903D883E74D5FC5430099000000FFF6F60000000000000000000000005649344630A3185A463049BC41646BA79BC6D8
8DC8C4000000FF749E0000000000000000000000017D85132282D24AE4D43650DB2C3A9220000000FF67C400000000000000
000000000090CFD003164D43015A5C7984C4000000FFAB5E00000000000000000000000188A02A44B9A5902A914CA8400000
00FF683300000000000000000000B94040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000003572EA3578BA9D5E2EA3D5196838AEBB88E5307D5F49F578B8ED6D460000000FF
628E00000000000000000000001A3A6C1141045119494D18112E504546C87440000000FFFC3F000000000000000000000001
53E5D5B55D9750D5765D4345D195138DD5B35D4AAE29CAABB2EAD92E4BA860000000FF17720000000000000000000000035E
2C3BD78B30D5E2EA32CF03A6AB8AEBF77872A0C36AF971F856A5D460000000FFEEE1000000000000000000000000B28387C9
30D24920F8219D9C394950C0000000FF2A55000000000000000000000001962CB88AF1751A22D3AB35D87609588D7B578B88
DED460000000FFCC2A0000000000794040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF317800000000000000000000001ABB27489F095711705D1B02EAD88EA4CBAB64F2EADAA60CA00000
00FFD060000000000000000000000030E8DA8668629495131690B285940CA0000000FFB9CA000000000000000000000002BC
3A3614A9A30F5A6CCD7649A335D998ED5140000000FF012500000000000000000000000A29A9708289465258549290E89361
40000000FFF2BA00000000000000000000000065D1BE450502E28764AC8A2512C5979140000000FF5F930000000000000000
0000000970C1F0BC85626CA4B48560B90F9A346E23A8C0000000FF9D4F000000000000000000000001B285051194334B08A1
22D859513140000000FFC9450000F94040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8F46DE1CA0000000FF027A00000000000000000000000E42CA140B2E419C864A36CDA30F48517D0CA00000
00FFE06400000000000000000000000391B285E412C38CA02E1591B2822CA0000000FFB02C00000000000000000000000C9C
A88A006513285A42CAC8A4DA162CA0000000FF3A7E00000000000000000000000E4292625E0604640792926A42B2238B2E38
1CA0000000FF17AA000000000000000000000002AB367B0A5413ACD7666BB24DA56D7649DB1DC30CA0000000FF052F000000
00000000000000000516D11400EC9754A15924C5950CA0000000FF46EC00000000000000000000002BC5CAC8A5416BED7666
BB24DA56D76EF175D8570CA00000054040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (98024CE8708A641C4274BC80000000FFBD2700000000000000000000007216511C510B10CC94C384B14B48
58B292C8000000FF019200000000000000000000000028B688A524F284C874B08155311C56228B60000000FF600200000000
0000000000000002D2854B2494A20D28D381930930E068D9228760000000FFFAFC00000000000000000000000164E94203CE
4184F12B61461C93E4526D0CA0000000FF95D1000000000000000000000072145B48CD024C2066C653270B22AC364C89B872
9348000000FF2D8300000000000000000000001B2922814C294036D28B2E91F30CA0000000FF90AB00000000000000000000
005B292292980CC16D9A4B798500854040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000002B45D76A9A02A66BB24D2BC3DAAF171DEB6D760000000FF9BCB0000000000000000
000000E444E431047C4638E3898180000000FF5E7D000000000000000000000072ABB2EADAAE281023CA0DD5B55E12AEF9CA
ABB2EA9A17ABAB60000000FF5677000000000000000000000002B41896CA20668B33ABB419AD1689D1757F3AD560000000FF
F8F00000000000000000000000E4A400969194A118A1A49520000000FF7695000000000000000000000002ABA5C171E10057
6580EC122E85D16CB3118F76D560000000FF815700000000000000000000002DC7901280ACA46A61137080000000FFC1D000
0000000000000000000007242200454040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF4F7500000000000000000000003AC828B2466B18E076414591864835F264498960000000FF4EC8000000
00000000000000011002CA161B0A4DA20CB0A0E5D82E8B91306501F4A862AB60000000FFA5CF000000000000000000000000
14214CE4081100C0E080000000FF1346000000000000000000000840187291948CA2594587640F65C89A50970DA462A76000
0000FF9DD900000000000000000000000019451180021200000000FF0AC900000000000000000000000014383323CE063A14
A9029E20000000FF593F00000000000000000000001C8002582093017E223880000000FFD884000000000000000000000000
0000039240000000FF8E57040000C54040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (10D1C360000000FFCE260000000000000000000000000664B0EAB98786CA4C324F0746D223D93E4932AA2B
20000000FF3D88000000000000000000000000DA45859B70C1A4E92708A65132C22CA0000000FFFFC1000000000000000000
0000122588E2D38F32A952892609710C3CA0000000FF96BA000000000000000000000000C85A4979030A13326444DC20562C
A0000000FFB7910000000000000000000000000000502500000000FF89DA000000000000000000000002121210420A04AC40
000000FFF1330000000000000000000000008889AC3607522C8332B3C88D20000000FFB3A400000000000000000000000520
2E02114312D1031D284000000000254040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (794164C0000000FFB37C0000000000000000000000E79E2E912DA316C28764AE8B2D2A42B2378E32CB6D20
000000FFF0A700000000000000000000000F9CA1650A1D934CA91B86C822D24A5449954368C0000000FF4841000000000000
000000000000C9CAC8B5FE22CA258C72822C7652665024FE562D20000000FF23DB000000000000000000000000C38F6C650A
C595903E25990A25024D8562E160000000FF097A00000000000000000000000ACA4307E961E525A6942C12929870AC900000
00FFCCD100000000000000000000000064E1B05D2830CB8A5722B8256244556C90000000FFBC060000000000000000000000
347292930CA481F2D205C2807D00A54040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF0C6E000000000000000000000004566970C5B1B2836F215929320F817948D360000000FF9239000000
0000000000000000000B8A2D92D181F8B872F22D288AC8DA69E6581D2EA61CA0000000FF2DC1000000000000000000000005
94969E584DA394C8B7C8AC85A486CCA36140000000FFC89A00000000000000000000000C9A29B22C3715F472C864CA09ACD2
A5966550C1A6CD1A20000000FFE4CF00000000000000000000000070C5B0A2D9A39790B70B46E5E3A494971361C0000000FF
D9BF000000000000000000000000165C4516C1141F332851484D8479523140000000FFBED0000000000000000000000001C3
16E91650B28294D1B2169A528200654040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000145B4349194168C08B4863292E22CA0000000FF1A1C00000000000000000000000B
2A1A48CA1690A0A25132828CA0000000FFD0D300000000000000000000000145B232822CA42E50B4949292C4628760000000
FFE45D0000000000000000000000005A4883E165052307C2D216975292822CA0000000FFAD6E00000000000000000000000A
C91942CA1650A8594A860EC9461CA0000000FFE4920000000000000000000000001652328594293688AC85A494948CA00000
00FF26D3000000000000000000000002CA1590B2A10564A494948CA0000000FFE4930000000000000000000000001690B285
9491D21590B492B1948CA0000000E54040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (48000000000000000000000031424208939C41F5229965C3242D89615BA0000000FF2BE000000000000000
00000000023CCA68D911F0316D03D23691A4548270483CA0000000FF14210000000000000000000000141E31D822C36AE562
DC46158A791B6E4983118930CE330AC082CB9360000000FF1A92000000000000000000000002485B22CA169B40E03C5A7104
A368C272B3E18B60000000FF59AA0000000000000000000000009642B2165049A4282894948CA0000000FF44CD0000000000
000000000000070E94349107C85C308A8D8502D838828760000000FF8CFB000000000000000000000002D2869232923A42B2
007C028CA0000000FFB7E1000000154040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (972368E5346CC86D1EB41B46120F88D82E8B60000000FFAFE0000000000000000000000044EC8E23E7181C
131182493E92120240000000FF3C79000000000000000000000062292664C9BC51326D2344E1B30E8DB3094AB709229E46C3
9360000000FF602F00000000000000000000000E47B81ECBB25F2E85E30D974CB0BF132CC7D08E0782FA8560000000FF63EE
0000000000000000000000001A49384C53A6AB46E938433616918331A4602F118A1582F080000000FF496400000000000000
00000000128856C98FC9D42F1142B4516464A40959C360000000FF83800000000000000000000000070C10B218912C8249C3
3266265282F8A860000000FF8300954040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A250B282C9E360000000FFF608000000000000000000000032F12750AEA7A0D9A9C25A0EAC270920F20B35
19988D5040000000FF735F00000000000000000000000272272C8C49D464042120000000FFAA770000000000000000000000
004781D44BA8D1F6A3324AA88C2B0466A33313AA0C20000000FFE8A300000000000000000000000C4C37273394F4321C3750
D4E0711C678E8E481BA86086F8EAE1BC20000000FF52D00000000000000000000000072A4C711C0CF139738F30E263CB1842
B920000000FF5E3900000000000000000000001A9A8568896CD46651D60FC0C90466A305711ABED060000000FFBC79000000
00000000000000000132E5068300554040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000004212138813232604A480000000FFC4E1000000000000000000000032282819
30C05321349B7480000000FF6252000000000000000000000012618A78987C84703D21C1FCA207B3CF2C7571862BF261A160
000000FFAE90000000000000000000000000A50814D2908113850D20000000FFFE610000000000000000000000C51648B245
940EC916597549922CB28B20000000FF820000000000000000000000000645942CA165528D85950B2E65C450ED0D20000000
FF0A210000000000000000000000044E13A2300F240928824987E9212049444E20000000FF30840000000000000000000000
009326D41CA48ACB916C4BB30800D54040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (52F1165242746C85B2324A0B87A83A1CA0000000FF56CC00000000000000000000000196D9877AB564DD07
6D766981E6DD57E8A71D82332AD88DC5D760000000FFA7230000000000000000000000030F28D86C3368E509C2DA184D168F
905B34689C2D1CA0000000FF70CE0000000000000000000000004F6A2648A1DF22CB4A1B0C30A29B0B28670D20000000FFDC
8E00000000000000000000000E1D270C3F3861F84707D082EE447620B9C303CE1CA0000000FF9E9300000000000000000000
000B2E22CAB69C5284EC9B66C9D648D85722A360000000FFC690000000000000000000000000A6D8564CBD24A48A29D2E9A2
C85B0A29E22B20000000FFCF3B00354040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000002D16CC3CAE8E591C9D91C6926925346C81E91CA0000000FF599E000000000000000000000031E8
E9B766A9930CA05E57285C22D22650A4DA470B20000000FF4A8E0000000000000000000000023DC3A4AF70C17D50BAEF503E
AD160F932182E66BB5B15836D560000000FFDAF3000000000000000000000000D38B623B46254307C942FA68C2650A0F8978
1B20000000FFC3A8000000000000000000000054C596D13B6944F5756D1712C3B55D8736D575205D5F32E27966CBA9600000
00FFD4D90000000000000000000000023C546F563CDB24F8BAED1F49B57DD5D3466BB3975DC2A5D760000000FF55AC000000
000000000000000000B49B258700B54040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (654ADA2C286CA0000000FF5F8D000000000000000000000000AF175DD57C5C36AF175DADAEC8716832703A
56D76AF1759EA2C360000000FF57A00000000000000000000000D5D9385D5764D5B4DD9356CCBAB74B2E51F9A428B6ABB2EA
1A66CB8B60000000FFF655000000000000000000000005942CA1650B2B36A9841650B4F22EA0000000FF71BB000000000000
000000000000A9B2ABC5EBADB299AEF1F5AB24D12ABC5C763360000000FFAFF20000000000000000000000016530A2D8586C
96945973484D85D494A0000000FFC9BF000000000000000000000003268D8586C4714DB2530C0A162D203C3B0B2A2E85C760
000000FF006F0000000000000000754040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000622CA07B270D9639428B6150C20F22D30DA4992E1CA0000000FFB8250000000000000000000000
1CF228FE49B0D82A1CA239B282290826CE90A19C462CA0000000FF52430000000000000000000000E4283F218B28516D0B14
1F86D950D215930E29822CA0000000FFF8F7000000000000000000000000B299316C11650B2C462A36841590A89E36876000
0000FFE64C00000000000000000000000116930E8D9C3942CA87B0E2DA2685D270E50B482EC39360000000FF8F3D00000000
0000000000000000AF175DAA6AB6AF175DADAEF1B45B48F13A56D76AF1719986C98B60000000FFCAA0000000000000000000
0000E429B6161B0B0D8595137100F54040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (95381911818439C4C38C45306500000000FFDDC400000000000000000000000022CA09B098588C2CBC8A20
E0A434859610000000FFFA01000000000000000000000000517E1169C593442B165758F2970923158E0709859790000000FF
7E7E000000000000000000000000282F48B485642CA48A2513C0C2D309B4F22CA0000000FF7EFE0000000000000000000000
486286748B4922EA358B2A3C58285C2245A4917130B2A208000000FF410F000000000000000000000000116542CD86C89C76
141F0A45138511488B4F2D1CA0000000FFB52E000000000000000000000001B2836CA845B42C516D164C85CB2646D84C2CA0
000000FFBA0300000000000000000D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5E2F50AE832A6A16A9B55ABA1B20000000FF8C0D000000000000000000000002E5CE218804013800000000
FFFD6700000000000000000000006AECBABFABB2EADAAEC9A36ABBAEAFAAEC9AB62BDBCAAEE38B7ABB2EAFAAE2CB60000000
FFDBCA0000000000000000000000015A1C4B568BD4CBA2EAB568BAAD5A2D556832B45D17AD1755AB45D560000000FF128200
0000000000000000000002908633634A1061085908CC20000000FFB5830000000000000000000000155D270AB45927AB2578
BAAD5A2F556872B45D1661B355ABC5D560000000FFD3EB00000000000000000000000288E144F2480845252520000000FF60
B6000000000000000000000003008D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (20000000FF9B5400000000000000000000000A49B30CA48A2DA2C9B664C9B32641D9AEE170C93A45979000
0000FF4A5F000000000000000000000000220BACD289263388231F488C80000000FF1FB8000000000000000000000001D942
B2145B04B3568D83A914B0A20FA16408000000FF1F27000000000000000000000001206485D3081892310980000000FF628C
0000000000000000000000008B20B8632900A64B044A2A40000000FFE41D0000000000000000000000000311231459027C4C
823E20000000FF1D740000000000000000000000001010004080694402CC20000000FF1E7B04000000000000000000000E55
A2EBB568BAED5E2E9FAAF8BAED004D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (29A466C260000000FF691900000000000000000000000348CC478B472D24CA149F720774B1971140000000
FFC58E00000000000000000000001CE32C2D382AB68B2C8516C13C8778C20FA0EC3D20000000FFA5FE000000000000000000
0000099993C56CB882245A6C12A2942808000000FF0024000000000000000000000000000000000000FF52D5000000000000
000000000008400900C450C520000000FF7ACA0000000000000000000000004590AC926593215922640B91948B20000000FF
DB840000000000000000000000052882EB30D28A1A50B8E596064A9840000000FFC6FF000000000000000000000008B225D9
2285B1D4881E0A21910FE8A49B00CD4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (F96900000000000000000000007268E5A5C30EC1149BA52922A361695328544C2CA0000000FFE60E000000
00000000000000000165045942CA4BE8B4D85A4319360A32A22EA0000000FFAD2F0000000000000000000000073C3CF60A26
2D8C70CA03C0F50D9B36C2F3889F22F484E69260000000FF5B95000000000000000000000001652459485A366590B2C22894
14C4B140000000FF401100000000000000000000001C3A7164C3B227292A1628B6722652670DB22D20000000FFC01D000000
000000000000000000AA9C22CA0C22DC38CA61C3B01C42D80FA22B90000000FF832700000000000000000000000456C825AB
66C2B83E918D87970331436EC2002D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000003317130C1726F168E5C7905C2B214A18B0DB10EE9440000000FFE714000000000000000000000000
16576D26C2CA5271F1BE45A486CE182CA0000000FFD2F900000000000000000000000562A16445B24594634B098A4F822D38
821D85E4E1A360000000FF058900000000000000000000000556CE1C3616540E4DB22CB8E074A8607C1145385C507C100000
00FF00B200000000000000000000000165A5A394C3CACA9845A7D0D2A51B451A4E9B20000000FF75E7000000000000000000
000009151B0B29E386C86D179E51EF484D85A56CA0000000FFA7120000000000000000000000048AC928526F1436198B2B58
4F1E61B85126C2A160000000FF00AD4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B0EA18546D0D2140000000FF08B20000000000000000000000002D22690B29285A4178E905AB942EA84000
0000FF34500000000000000000000000016929F4994942A208AF5A3942CA70C0000000FFB65E00000000000000000000000D
1CA88974D22CA2690B0DD26D348A2D830B2840000000FF9E82000000000000000000000000594A581CA4A150B0B485950CA1
40000000FF59B20000000000000000000000005A4942CA4A15943485950B2840000000FFCCB000000000000000000000000B
4928594942B208B285950CA140000000FF1F330000000000000000000000001493253872F246D968D9261A468764BC800000
00FF70E2000000000000000000006D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (930CE914B5133F11E30920000000FFAE25000000000000000000000050619A260B60B46A42B8EE136CCD39
0DA34AED1A3A1F5719C4EC7E20000000FF28C00000000000000000000000002B3365A1B25A314E1C49B81D879469142C37A4
51270C20000000FFF4D6000000000000000000000001292A19494F1B21590B4A105C1140000000FF74A60000000000000000
000000002A10CE5E4505C94C3D3C8BCAD17C2A2840000000FF0F2C0000000000000000000000005A4942D2521690B538526D
0D2140000000FF3AEA000000000000000000000000D181E4A0BC60FA207C2828283E1468C0000000FFEDFF00000000000000
0000000000159132859614A14C00ED4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (20000000FF3C7600000000000000000000000061D1B250B2E2CCB0B668D8D63C5E768A1E8D830E20000000
FF25790000000000000000000000E7934D952C4B13E1738F56225926185F4987CA166181FC98607A8E49E060000000FF663F
00000000000000000000000227E45B4985C094591A072453C46A1C90217820000000FFDB8D00000000000000000000000049
B229450B06B22C92888B85709ACB229860000000FFC008000000000000000000000001C305F1624A8619A2CF308C30A56D08
5644D23040000000FF13360000000000000000000000019641989025A07659C0C68C410F4C20000000FFFA90000000000000
000000000002203397914A69C5001D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFD315000000000000000000000000089309722460E589242120000000FF8E1A00000000000000000000
00054C64B455F6A3324ABED47A866A3759255F40000000FFF774000000000000000000000006A617932382E91E2E1BA860DD
AB86EA1E9E480BC3A986F8EAE2BC20000000FF8B4D00000000000000000000000390A70386208E43B1E71C40F39E7121C33C
20000000FFB7CB000000000000000000000001A923328EACD4615F6A34412ABC92BED060000000FFE9630000000000000000
000000048659EB2183B88DD64109C2B05A36417408ACB9342C1140000000FF211F000000000000000000000020709D479208
103384122B88C0BCC304988C4E009D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF05E10000000000000000000000004986078C3E42799EC8A07E26181E4791860FB2E9A9F4986CE2840000
00FF6FDF000000000000000000000072080A104378B434A8D8952520000000FF8D420000000000000000000000022C934388
A174A225916561B24590AD142C8B20000000FF7A05000000000000000000000024594289B650B2F2C3CACC20B285942CA000
0000FFC150000000000000000000000040E4810711213038F4964125519208213A20000000FF26F700000000000000000000
00C493CAF1441590A259D67914165670334C498B60000000FF6CD8000000000000000000000004794366C2FB0D5F6A3328AB
ED4653812EA3A40F2A0E200000005D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (39F90000000000000000000000018B0E2DB92285CA1650B29A320E2CB15B472A864CA0000000FF9E620000
00000000000000000001C8B0988662E7616DB0B88562C387688A2DD30CA0000000FFF8AD000000000000000000000000E1F2
C50739E0FE2283E4B860BB28A0E70C1F3961FB126C44000000FFDA3200000000000000000000000525C79345B258794C3D3C
EA899395D16509F07945B0000000FF222A0000000000000000000000015108650B285A5163C143B2BC5C6C530760000000FF
EF2C0000000000000000000000004430CCCAE6530ED78719C5981C5460000000FF9F1C0000000000000000000000016909E1
12940ECC39348A02284000000000DD4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8E2CA0000000FF491800000000000000000000006A296D46C2E55B5DAA6A16AD874D57C593688B2DA28CA0
000000FF4490000000000000000000000002F2142B8A4D82C983E16934FCC2E1CA158A0FE26CA0000000FFAC9A0000000000
00000000000000A9B8609D751C2BD5C5B32EAFAAEEB8AEABEA0BAAEE98B6838A0FA9741CA0000000FF69F000000000000000
00000000015B51B32E858EC2E76AF1691AA689E2EBF3974DA30CA0000000FF194400000000000000000000007369B611849B
0B285A4881171F12DCF26D8CA0000000FF769F0000000000000000000000047B5E2D82F4703ECE55B2D57D7D1755D060CAD5
93683F176DC2781CA0000000FF003D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF9DB6000000000000000000000001ABB2F0A6694985D5B55C1750D5764D3B55D4AAECBAB6ABB2EADA
A70BA860000000FF2C3F000000000000000000000000AC5292928594290CA16500000000FFF45F0000000000000000000000
578B47516D76AF175DABC5B2A9ACABC5D76AF175DAB6D760000000FF762E0000000000000000000000014494E07485A50896
4690B285942CA0000000FF05AA000000000000000000000000C39204E8B28594C3EA1590B285946CA0000000FF807B000000
0000000000000000C793440670E6E16920E1595A42C3715B669DB28CA0000000FF97500000000000000000000000061C9045
222CA1650593D428D18B7C8B2C00BD4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000E4C3FA847B2499654B41F472D2CD9A83E49B2D4691D20000000FFDA3E0000000000000000
0000000E4E1D2461F25E5CF2D9CA5216539615E22CA0000000FF76A8000000000000000000000030E19A83949407D6876141
41F0ACC56D1D20000000FF7D4A0000000000000000000000022D24A32B19418746C93521650ACA0F0CA0000000FFC8140000
0000000000000000002BC59A7AA32ABED76AFB5DAA6A36999B4ABC5D76AF1755D285D660000000FFFF670000000000000000
000001C852794A49A50161B290B285950D20000000FF8E3B000000000000000000000015E2E8323D2ABED76AFB5DABC5C764
9B4ABC5D76AF175DBB85D66000007D4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000005C3E51A4C8C81E492858443CA42E2B12C50EE90000000FFA471000000000000000000
0000039045C427424381E452A996DD0C9CA13C32859710000000FF4697000000000000000000000000525D048FB0D92AD8EC
0BA21650A89F22A360000000FFAEB5000000000000000000000002B96512501650AC8586C9151B2703A590000000FF6F3000
0000000000000000000005C3650CBE9A81E1D92BACB9ACAE3CBB6452EC90000000FF8C660000000000000000000000002B28
61B62A181426CCB48CA30D9CB0ED0CA0000000FF6BE40000000000000000000000056581CA4E2AD844C5945247CA138A4DA2
2D20000000FF660C000000000000FD4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (627222003D8F2C8570C678C860000000FF4CB7000000000000000000000055D9347F1365D3302E2983CAB6
ABA2EADAAF088BAB6AB8A29A2E89EA60000000FF926D000000000000000000000002B45D1647EB4599AACCC56AD175586741
95D6AB46C365AB20000000FF42FA00000000000000000000000427C710690935243490BE4B7C41722749C86A1D20000000FF
250100000000000000000000002B6599FAEBA9988C8ADDF6AB48E0773C5D5661F265A1E8A160000000FF7929000000000000
000000000004594961F109B25645216282090D236060000000FFCCB7000000000000000000000000E490225324D85051A605
EC909E20000000FFB43300000000034040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (350E164D741D1B0619591B10000000FFA2FD0000000000000000000000009D8A1648D192E8306794445519
323F2C80000000FF908100000000000000000000003B28594E1CA442B7176DA46282B368B0B2822B10000000FF56E2000000
000000000000000031001C80C60100000000FF868F00000000000000000000003D451E5139024112124040000000FFC0DD00
000000000000000000000084EC448330B248931D07794860000000FF2FD7000000000000000000000000F22100C988078534
20000000FF2B6B0008000000000000000000001CAB65ABAD164D998EC8ADDF2EBB24D33E5D76A9B2A36CA0000000FFBACF00
00000000000000000000049E7100834040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (243920000000FFBCFA0000000000000000000000722A3608B085E69143B21301553522D8968920000000FF
63E40000000000000000000000031F29872568942883B326E45A61136440000000FF53560000000000000000000000000949
42828000000000FF40F700000000000000000000002103898CA4A1408A424200000000FFC140000000000000000000000001
1646508145942CA12836511430C0000000FF475B000000000000000000000004A9A61A48764930669A0C46141F9528400000
00FF5FAF0000000000000000000000009B03C6F89631D922C916489438978A2CD288A0000000FF2AEA000000000000000000
00000E59261690B48516F955D500434040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (64C0000000FF671C0000000000000000000000002CAA0E5C72394299343B054A1A49443890000000FFC36D
0000000000000000000000392513045949F0E5C587971E9F90A0B29A39703140000000FFCB72000000000000000000000000
956D3688B2850585932165C5C387C2B140000000FF7ACC000000000000000000000000C6932E8DC229084B11F101690B2E96
2820000000FFD5DC00000000000000000000001CD38D822AB664D164556C1721588A2985942820000000FFC0770000000000
0000000000000722A17CC20B2C86D3911527A6D02693661B0E4F928B10000000FFE4A700000000000000000000000AC8B082
151B25A394D1FA24316535619B00C34040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (78E5421D85A42D3CB0F292930A20000000FF15F80000000000000000000000062D182A9A1E4F82E283E154
3A5A3A5DCD30E478DF20D3E140000000FF33C5000000000000000000000018B872D22CB29B0E4DA2C9A3615DB2A162D21652
862DB22E20000000FF06BF000000000000000000000001A30ECE1CAB49BF45E46CACB34A107E949E512F8F4590000000FF80
AA00000000000000000000000B2893950CA16505E7A5978E1345E324FD461B0ACD0590000000FF0CA3000000000000000000
00000738B0FC5D207B3EF1A468DD30A8748BCDD06A42D3CA32822C20000000FF595500000000000000000000000145F48D19
59C4E50B283E1CB0DB4A1A58CA00234040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (41F0A1D8526C1361B4459623151049942CA0000000FFF25E0000000000000000000000004BACA1971365C6
187968E552FDA49150B645950CA0000000FFA1A400000000000000000000000232A194287616574584C2D3C8AC85950CA000
0000FF306F00000000000000000000000B285942CA14165290B485950CA0000000FF9BBA000000000000000000000000B282
283E1650B29A3942D21690B2830CA0000000FF4DC90000000000000000000000011590B2B22C3614CDB09C2A42829764A000
0000FF20E00000000000000000000000C5C7969151BC5C346D144CDF90C544D9169386E587662B2760000000FFDEC5000000
000000000000000019B05CD9CA00A34040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (F1000000000000000000000001914C0C65C5B05C5971175C44A0F4C7F91D072E0EC7A360000000FFF5FF00
0000000000000000000000D19082CA1478C142652328291CA0000000FFF98C000000000000000000000018A860FA107C4750
C16550C0A3872A194E1CA0000000FF352B0000000000000000000000010CA841F4242065D0B2A1950CA0000000FF5AF20000
000000000000000000091CA8652872850503460F89942CA0000000FF68060000000000000000000000432830CA8652459428
2BE6D431B2B2950CA0000000FFF28A000000000000000000000008CA8650459428168E50C7482E18942CA0000000FF2FA900
00000000000000000000008CA800634040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4E6181FC98E078A68EA0000000FF58B300000000000000000000000152C70316C270CC12508674C0F11B0B
C8EC3F6237AC8A60000000FF22110000000000000000000000092ED0B5860FA1528B287550E13A8CA198ACC3E1A160000000
FF1CDB0000000000000000000000045A3E51E79332A5608FE88A258725CD243C349CFCD060000000FF621700000000000000
0000000000CF21388296F1E61F18D87C6915889C59524920000000FFD1BD0000000000000000000000A458CC1744D121CD73
08C87615CA170B9A6C2CA0000000FFD8E20000000000000000000000191B5E19E070E07AF4688DA716F030AC5381C946691C
3098678DC5628760000000FF8800E34040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9D4F05E1C4C37C65309F153C9A0F57245986711E8619830780000000FF4494000000000000000000000003
9630824E2C7962839CB131E58F2C40000000FF1C7A000000000000000000000001A8965930F5F62321D988D505124122C93A
9D00000000FF7F5D00000000000000000000000096E8DBA887711B4728351B20B461DD06D19D3A3703B46C822D20000000FF
F60C000000000000000000000048E490384C325930821983E928AC94861BB4B040000000FF5B090000000000000000000000
00B0982EC39A7258B198516F145C5352F03430DA615C32A381CB60000000FF65CF0000000000000000000000E6924D0F04B3
67930C0F4DCC3F6130C0F18FC800134040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (91F48A178BB0885914ADD440000000FF51920000000000000000000000002CA165859314D164C5B41C516D
080ECC9CA340000000FF66F3000000000000000000000024724C3279C44C311928C26483E920494A64A2F240000000FF5B96
0000000000000000000000004BB216523292749B2154B4450CF28B2140000000FFB671000000000000000000000035E545CD
643D57EC4629A2377D2660F2AFB519AECD46C380000000FFF3D100000000000000000000002162241461A4875388028B2098
6E80000000FF2C92000000000000000000000057D09CAF668357DA8D1F623564BC8C904AFB51A2CD461980000000FFD5DF00
00000000000000000000D5C37000934040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1F29728507C6182FAD2A3A38C7F4B860F93A1820000000FF8B7400000000000000000000000143BA616713
C64B1E2DD348A7C9D253262DA228A0000000FF428A0000000000000000000000028B64C2CA160824A1643499C71285961140
000000FF92AB000000000000000000000002114C0A974C36E45B659750E1E1C02C8900000000FF8641000000000000000000
00000122D235851B3328588C914286422B80000000FF66D9000000000000000000000006181EC417E4C3048C5E8E8647D349
0E91E2278DB03C4751C0000000FFB7BB0000000000000000000000012908CA6688E48588124980000000FF7F6B0000000000
0000000000000C51648B2C90EC00534040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (70D155D934DD5765D5B55D8730D4724CD335D4AAF4DB6A38A1FA2ECBA860000000FFC95600000000000000
000000002BC598647B97AAB6C7688B1D966689E599ACAA15E66EE1759C36D460000000FF94EB000000000000000000000004
F5609C3642CAB4CA3078054B2A5D2670C0000000FF8F41000000000000000000000003563D132F8B26E8F42E2311F1BA8DD8
4E524111FED28A17598976D460000000FF552D00000000000000000000000581CD1C7650C898B2D2A587741B4629A523A623
68C0000000FF0F5500000000000000000000000047143B2619427151BC4505C0E9158ABBC4D9487140000000FFE8F8000000
000000000000000000E1A3E51C00D34040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FFD28400000000000000000000000051228B6158A4D993328A174A13053C5070CE1140000000FF25E9
00000000000000000000000C3E3C8B0DC26CA999B28506116921BA4546C57140000000FF79FF000000000000000000000000
E2CC33462D9C346D6F349868C264D2C93BA78B8EE53140000000FFC82700000000000000000000000061C1613045942CA144
B845A6472613C6144F9140000000FF3EAB00000000000000000000002A288EAF1E49ABE5C76AE0751BB65C364C860BA2CD34
1C349876D460000000FF1A200000000000000000000000005058DCD1A3748A4D82D3C268C081FA4507C17140000000FFAE9E
0000000000000000000000011300334040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6D0B52165045942D20000000FF2C570000000000000000000000015E2D1578F44D1B63B54D8EDDC4D1B48C
D956D76AF175DAB81CA0000000FFAF1A0000000000000000000000014161BC4595114E49B2130B4DB0344C2D20000000FFB8
37000000000000000000000002ABE2E83578B94CBE2EBB578B8ED5C0E3B0CF8BA56D76AE1759AA6CA0000000FF0BDD000000
000000000000000003955D9748D3364D5B41D9756C5365F155E995575264C5B4CD938ED27140000000FF20E2000000000000
000000000001710A1585950A368650A0B285942B20000000FF538200000000000000000000000578B448FB49BA6D8EF987BB
778B1AC9346493470BA691400000B34040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9510000000FFDCD5000000000000000000000072141678B16D81BA165650B97564E433474C0EE5CA07BA74
B284000000FF76D10000000000000000000000222A91592703850B848C32E2C4C3145312ED942CA0000000FF3C6600000000
000000000000000108AA459447851B0A850B94931171A593942CA0000000FFDD060000000000000000000000072141652161
DC22CBCA17285C41179C58716C2CA0000000FF061F0000000000000000000001C8505A5687748AA61940D28B864394394E1D
20000000FF368A00000000000000000000000C3E2169A4D26D693642F1B5BC8521942CA0000000FFD4DA0000000000000000
0000001C8518750C916542A61100734040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000002B65EBAB289D6CC668D0715AB45D7615E060ABC5CA4BD1ECD243B20000000FF
92F30000000000000000000000390B2B9C426E94A841597289D2C42F41C0000000FF81EE00000000000000000000001CE223
9CA5C5926C2A114124F28A34A860000000FF304F00000000000000000000000170E54225850432993C8C2B1C0E5E6DA44400
0000FF61030000000000000000000001C987958BA378F168AD943344E9B640A422DD22C360000000FF394300000000000000
00000000E42CB4C24DAD16C28B64C34DC2442B219A261D8584D130000000FFF8C60000000000000000000000002CA861B245
956920BC32F2282A16CA0E2D8500F34040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000072E4A5D11328824C049A8CE5CA20000000FF4389000000000000000000000004B9
054C1092900620000000FF76CB010000000000000000000000ADD7AAA6CAAF171D8938AD5A2EBB24D2BE5CAAD1755AB45CA0
000000FF68100000000000000000000000039138E208108722113E44222220000000FF67DE00000000000000000000000E55
764D3B55D93A6D5765D5370E2D8AEEBAB6A3A27CAAECBAA6ABB2EAFAAEC9AA60000000FFB1D2000000000000000000000001
5AAE8BD75AAF5A2EAB0AF58AD5A2EAB26832B47856EEA15987458560000000FF8E2800000000000000000000000720284574
2550C232004260000000FFA946000B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000891440F2222C8565089C591AA928CA0000000FF2D5700000000000000000000000A549229D20A521A11
248C40000000FFA23F0000000000000000000000110C3648B24591868591447CA1F81DA615928B20000000FF201D00000000
00000000000000002A21690593D4E970E530E499436213A36851B15DA3A610000000FF60AF000000000000000000000001C8
4782F092C8483180A8354751E200000000FFDA800000000000000000000000011119489B709A2C90707CE1B13268D0B14E83
9510000000FF00BB00000000000000000000000032880F020040CE8800000000FF6B0200000000000000000000000051020B
1005620A6100000000FF5A6A00008B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A0F8642BC0E22E1CA0000000FF68FE000000000000000000000007238F4F229D1653468F8D149281C6C760
000000FF87B60000000000000000000000002A2494B24526C2A2CDB2F8662B5136CA20B90E2CA0000000FF76E40000000000
0000000000000B0B9644B151B50C59691656403F234A562CA0000000FFDD060000000000000000000000061D8E2C82E282CA
16514C03314C08AEC38B60000000FF91C2000000000000000000000002864290C265D1BE45649312602CA0000000FFE15500
00000000000000000000004A4A028000000000FF374F0000000000000000000000008448C86525634851C923A50D20000000
FFFA1300000000000000000000004B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (F28562C9D2C8171328D03D6D20000000FF1B820000000000000000000000014169248794283F218B2D2E1D
260A91C72C262CA0000000FF8EE800000000000000000000000C3C46169A512ED08C9A268893E799CE07D651AD9394900000
00FFE6380000000000000000000000005C42CA44BB0B285970314520A26C98724C90000000FF7F5100000000000000000000
0000A0B4D22CA34169290EE49A112C6D20000000FF49EF00000000000000000000000187A59161B1A2D9CF96CE18CD372A46
19A76E1CA0000000FFA87A00000000000000000000000002AA661E50A3272B38A90B7CA874E22CA0000000FF3F8600000000
00000000000000002C3614871000CB4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000282CA165016529434A1A42CA0000000FF42C500000000000000000000000A38728594942CA14174
34A1A42CA0000000FF71960000000000000000000000014E8B2F2C3CA02CA534D20BA154CE1CA0000000FF75840000000000
000000000000015CB268F926F1CA03F4B270D8D038D223A874E22CA0000000FF3F0B00000000000000000000000053CB15E8
3A0E543285D6C2988B70B872CA8CA0000000FF69FC00000000000000000000000A0B4B28C36140DA8DB9A79C41FA8C50ECD3
A260000000FF8ADB0000000000000000000000002943155B2328596978CB3E5C3A69645B1A8DE22CA0000000FF75C4000000
00000000000000000282D32590002B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (44B0B872854261CA0B872A2E1CA0000000FFDDBF0000000000000000000000420B28500B28232859428760
000000FFCA2D0000000000000000000000042347282E1CA72169121860B943A42CA0000000FF391C00000000000000000000
000420B28594942CA144692874C22CA0000000FF264C0000000000000000000000220A0F85940594A491CA0B872822876000
0000FF08CD0000000000000000000000088E1CA16509B04586CE1891CA08B2822CA0000000FFC33E00000000000000000000
0000441650B28898794A611650B28594000000A4FF8AD600000000000000000000000441650B292C22CA1690B285942CA000
0000FF1E4A000000000000000000AB4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1450BE50B04C3A170EA358668EA0000000FF5B5F000000000000000000000001871262B14AE41F26C19852
104FC82C80000000FFE9FB00000000000000000000000942CD3CF3EC36CA3F248D22862458CC60000000FF21940000000000
00000000000094539A493148883B0F0DE22817947BA4595D1CA0000000FF912A00000000000000000000000450219D6753B4
644270EFDE8E9B0427506487743B462F92C7A360000000FF46EA000000000000000000000002BB485A565B796903F61DA2D9
3E8C9C278A274992668760000000FF9B08000000000000000000000000144A165068E50A0B4A1A4D1CA0000000FF2CC70000
00000000000000000001082CA1006B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000D4D792BEC4635FBD1ABE8904648B341AB11C20000000FF5DB3000000000000000000000005D4
86EE9668D1BC0C964148E1BA0DA302D18560BA25822CA0000000FF77CA000000000000000000000091C81C2B0248124092EC
2648226838AE230217A460000000FF1166000000000000000000000002E06163B64D84C961343366105685A3695B270DA862
8760000000FF03660000000000000000000001CD249F289996CE261B38E38586663A1E61D87C90E6385FC98627B28B200000
00FF3AAE0000000000000000000000016581938FA13D3219265629E47119C3241A0E3378695D20000000FF1D9F0000000000
0000000000001266B55922842F00EB4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (940E4E3A1C4708944125E92049021BB2B040000000FF1416000000000000000000000000459C4879691655
AC919611446515D12ECD1CA0000000FF599A00000000000000000000006A6A2590E662354188D5F6A327D19A8D1025D46000
0000FFE1F600000000000000000000000C507084C2232546198C40000000FFCC8F000000000000000000000002A2ACD4615F
B51AA0D46AFB5185608CD47AFB0B2CD460000000FFAA79000000000000000000000001A9C46D4E13C5570DD43C2C27C7570A
E43D5C90410CD8386EA1EAE0B920000000FF433100000000000000000000001CF38F3C981E20A7CB1E6114F963CE22388E53
80000000FF1A20000000000000001B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000A498797184B30A085D4C3A170B0F8A190C29360000000FFEB2E00000000000000000000000143
C40E286D1E49B650A1E2810FC91040000000FF8502000000000000000000000000B4854509A360766548A21313D9A6184000
0000FF912E000000000000000000000004A3A8C313CE1F3288F0ACC3E483A0E31C2F186078C76710000000FFA19000000000
0000000000000000426A71342C54B114E2A50D20000000FF0A2D00000000000000000000000459238591659444B649364618
8857CA2CC28B20000000FF1E7700000000000000000000000188A8D8597941E50A8D85969841613A6DA50CA0000000FF9A34
0000000000000000000000091C009B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A9A09278592E8DBAABE5D760000000FFA49F0000000000000000000000024D126CA4595A234868749C364E
1CA0000000FF174E0000000000000000000000D456B68B6AD171199B88EAB85C532411D687E249B1FEABC5D760000000FF17
9C00000000000000000000000562D1D3675170B29D22D3891934F461582D1CA0000000FF45E2000000000000000000000011
C57228B61650A880F54E914E1D0BC6146C2CA0000000FF7FE900000000000000000000000584E18BE70C0FA4507CE1F24847
C5C303E150C1F21DC39490000000FF88FD0000000000000000000000002B116520EEC22A374A23DA6E25D14919E124C39360
000000FF16EB00000000000000005B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000030F285959365E61961845B845522C7619C3599862CA0000000FF3EA300000000000000000000001C
8B2F22CA08A8590B850B3E920BB22CA0C3492394000000A4FFD7BD00000000000000000000000282CA1654328295164D8C2C
85942CA0000000FF423800000000000000000000001514ADB5DABC5C5E8623570BAAC990E9470BAAD5E2EBB578BAEC000000
A4FF193500000000000000000000000E4572293E165424F8547CD18116911E10CD0CA0000000FF6F7E000000000000000000
00000898A44DD756D5775D4B20EA3AAEEBA966BD34A66CBAA6ABA2EABAAE8BAB60000000FF98DE0000000000000000000000
00A8A558F955A2F3B0B1DAA0D200DB4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF5010000000000000000000000002CA16524500A8DD2942D2C0E942C594000000A4FF82B8000000
0000000000000000557C5D7755F175DEB6C66AC2719A2287615E074ABC5C26AE1689ABC5D760000000FFBA23000000000000
0000000000E55765D5F55D9756D1765D4355C17C54BE4C5B51D4AA68B986A982E6188ECBAB60000000FF7D2D000000000000
00000000000B285942D22261513434A144C42CA0000000FF4FD0000000000000000000000000AF164DABC5D768DB1DAB0F76
CC1F2926B243A172A3C596D760000000FFDC3600000000000000000000000516C2CA48BA91362A2D9538E068D8502F0CA000
0000FFED690000000000000000003B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (016188D8794C9D202EC932B3090C3748A1DC466CA0000000FFD0C7000000000000000000000001588A0F99
3A5492F4E2CF30F286564D0ED81CA0000000FFC8DB0000000000000000000000390BC85941149301E0BB1650B9C0D9B90DBF
07CD1CA0000000FF99AC00000000000000000000000390A83822C3661D13A54859598690B219C92E1CA0000000FF999C0000
00000000000000000018705960716932498A629368650AA182482CA0000000FFE95D00000000000000000000000011710B28
5A405E169C5B44E1A483B221D905A394000000A4FF0D50000000000000000000000002BC5A2AF175D9DF6F66A83198AFCFCA
91E074AB85896AD1EAABC5D76000BB4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000145B0A29294507C508330553E61A513040000000FF234B0000000000000000000000073C82
5250BD94428069586480000000FF3633000000000000000000000000B87C99794C9C2652E65250744280546D90000000FF79
8F0000000000000000000000390A0B2850CD03D34EA4DA2709215184E850EC08000000FF509C000000000000000000000005
05942D252D6522608597961CBB84595430000000FF190D00000000000000000000000721795B298FA6981D13234DF285829B
663B0F2850EC08000000FF197C00000000000000000000000008A0B0F8594C84D94A95C261830CA361B45C346D50000000FF
4DD20000000000000000000000007B4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (011931E400000000FF29BA0010000000000000000000003956CBA2D5BAEBB578BAACABD5B6556832B75A3E
8B9F6DAB75D760000000FF0FDA0000000000000000000000049E58803114C46E4929D13240000000FF8D7300000000000000
0000000000ABB26AFEAEDBABEABB0EAD81756D5744C5335C52AECBD30E274AAECBAB60000000FF01F0000000000000000000
000072AD9755AB45D56AD175599AAD2BA3F55683186AE968EBEE3AD560000000FFC472000000000000000000000001C8A4C8
14980530AC945C4D20000000FFE1BB00000000000000000000003956CB23D5A2EAB578BAACCD56159568323AB279DACF3AD5
60000000FF541A00000000000000FB4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF80420000000000000000000000003521D1F488B490E858288130D4FA5112C63440000000FFCA4C0000
000000000000000000014C3708B0DD2C99861BB2EADF2C9922BB262B91716E9840000000FFDC620000000000000000000000
000348284664B251C4CA236463238E20000000FF6CCD00000000000000000000000014B28A32D369207A6E65C0E6588E1BEA
2D9970CE9140000000FF4F5D00000000000000000000000093205131064000000000FFD5A700000000000000000000000006
3044245CC2C4A61C0F9C431920000000FF12EE00000000000000000000000024022940E7439864B27100000000FF5BC50000
0000000000000000000E58810000074040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7961C0000000FF58DC00000000000000000000000004E8DB8794C382E265D92CE2C9CA8B974DA309200000
00FF46C10000000000000000000000000950CA1427B2649B164C38760B8A9D9228A0000000FFC0C500000000000000000000
0003939C453E5285322AA7A9870C9C52922820000000FFA76B0000000000000000000000001492850584C08E2C30B32DC269
20000000FF0024000000000000000000000000000000000000FFFB57000000000000000000000000049080002E48C0480000
00FF573E000000000000000000000001945186D168C159222ACA22B268C0000000FF22190000000000000000000000018181
2E59C51C4CF348984E1048000000874040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (48C0000000FF71F10000000000000000000000014B94A1D8B285066C7966C39B4B30C96A3D1B34D07A0C00
0000A4FF2A900000000000000000000000003248B28562C996626930E91C33942A20000000FFC81A00000000000000000000
000324D966CB876149C6DA4A61149C52E22C20000000FF2F9F00000000000000000000000019D456EC29216923CA289CA141
F2610710000000FFB83D0000000000000000000000007328594C314164544E94790DD23942CA61C0000000FF432600000000
00000000000000014CB8A172A46283364E905C353B18BE4B860FA18710000000FF1234000000000000000000000039390768
650A278B0CC282741C9B0AFC3900474040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B492A1A42CA0000000FF0FB40000000000000000000000000CA10EC050EC2D2A1B674F30968760000000FF
B67000000000000000000000000A69E51165130385A49314170B290F322CA0000000FF2D6600000000000000000000000324
81E31B0F28534E1CA16924D4785C34A120000000FFBB1C000000000000000000000014B94A70C3B0BC822876169C0D43840C
BC80000000FF6C110000000000000000000000003207C22C3678C141FC4E1A4336BC93257386E942C0000000FF550D000000
000000000000000001883E70E50BCB2E3CA15A8748228664C34D866A18000000A4FF91A90000000000000000000000032165
0A34748A6D1CB89C2859107F0700C74040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1940505129C30CC91CA0000000FF95F40000000000000000000000002505194482A268F905C316C91CA4A0
000000FF63AA000000000000000000000004A465393460A0B2A1A4918B60000000FF3FC90000000000000000000000009950
CA0D1A361693461D9C30EC8CA0000000FFB504000000000000000000000002598E1941A70ECE182E143B04594D1CA0000000
FF8A340000000000000000000000009650CAC79327285A4E18B788B49194000000A4FFF19C0000000000000000000000002C
A028661650B285A42CA0000000FFA1520000000000000000000000000642CA02CA169294349194000000A4FF353900000000
00000000000000002CAC468E9000274040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1CA0000000FF90740000000000000000000000014839448448E94EC6318BA860000000FF14400000000000
00000000000000528D22380F29433C8DB26C10467240000000FF6CD40000000000000000000000010D922C7688C9A2DA1128
50ED0B5360000000FF6A8E00000000000000000000000001BCB45F471D90E65EDB83FCA47CA2619A774BB575DCA783876000
0000FFEDD100000000000000000000000009B24594052104E4C3F8C32A389360000000FFB8EC000000000000000000000000
E407C1165390452112A1A50CA0000000FF9C6F0000000000000000000000002523283AE0BC92E2D1CA0760000000FFBBC800
0000000000000000000001C92900A74040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (331C69223C40000000FF34F60000000000000000000000000C92CB351AA095F6834421C0F22CD46C800000
00FFE4D0000000000000000000000001E82D1CA0148E507A37BD1D9703BB2A4EECA0000000FFF53A00000000000000000000
00000986C388F900938C964A2325258080000000FF31B100000000000000000000000C60794C3CAA464C53D45187859364DA
8994F6D360000000FF064400000000000000000000000004B03C4B4594424C383C61F230C2F44CE393C88960000000FF56C5
0000000000000000000000006217A4BC690690C613812565C56C0C4F30E83120000000FF481B00000000000000000000000E
4CA381B308161BA411E89346C600674040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (28528594842936A9DB0927818768B0F4A22CA0000000FFA49A00000000000000000000000009E190C10902
4A3388C0930D2484B240000000FF5B4C0000000000000000000000000D22D8594219488C389613A32C0F0B20000000FF9F74
000000000000000000000000011CCB66A3541E55F6A330C57D10ECD46880000000FFE73500000000000000000000000081C2
B0E404902B22041380000000FF3A990000000000000000000000000D247DA8D504AFB51A2E55F68330C4BA9F5040000000FF
EA9B000000000000000000000000CD2321C5750D571570CD43C2E23E610CC9D4C3751F5240000000FF61EC00000000000000
0000000000031D0748C4C79A6400E74040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A0000000FFAC7000000000000000000000000A4165E4888EC9CACB0E090B48CE2CA0000000FFC22B000000
000000000000000003965E525085669F4D4A90B4859710000000FFB9BA0000000000000000000000002973CA20722A906500
90000000FF453000000000000000000000000062A1B20033051C4546981E529C00000000FF8E710000000000000000000000
00CF2C797A60788E21C4734F9649711D881EC9970F81E61B20000000FF992A000000000000000000000000A43318D4811D0B
A487F494792711D840000000FF63AB00000000000000000000000189212F945914EAC9D12C8B207926C8668B20000000FFFC
D600000000000000000000000500174040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B3A42B20000000FFE56A000000000000000000000000A654DD9756C2AEC9896AB8B4A0EDBA96ABB2669D26
CBAB60000000FF113C00000000000000000000000732A36D761536932CD1276D7665E070DD56E5D560000000FF013B000000
0000000000000000002726CD1CA0D1A261448ACA186C6B20000000FF1D5F000000000000000000000000528DF36D76153653
D451C715D7688AADD5F6D660000000FF346E000000000000000000000000A55B21C594E42A8668D499C5D3A42D20000000FF
3A03000000000000000000000007328962CA16D389A14E079DF22B20000000FFC0A3000000000000000000000039620F9C32
7CE4E1F214474862B94307CE1C00974040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (44A64C530AA459446CC98B60000000FFF13E00000000000000000000000328DABC5D7615E2E554D236D768
C2CABC5B20000000FF8C850000000000000000000000012D859405F50C2615914D1742C28960000000FF46B9000000000000
00000000000008D8594054EC2D215832B0314CC3A160000000FF4AD5000000000000000000000000979141F3930EC923A793
250E944DA364000000A4FF464800000000000000000000000035B0B280AAD85A42CA08A6DC466B20000000FFC20900000000
0000000000000000044B16EAB7AEBB3957EF655F0E92EEDAAD5F2E1BC6DAEC000000A4FF9FDD000000000000000000000000
83B8CDA3941016DD1B3461A0F900574040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E1A360B8601F6593942D2858A449942CA0000000FF78CB00000000000000000000000232D9A3029429B664
C4BA72B9956CA0000000FFFC0F000000000000000000000000451B0A2506D942D3C8A046D85D6C000000A4FFACE900000000
0000000000000000047A36AF175192AA6E26AF2749962D76AFB2ABC3A360000000FFEA88000000000000000000000000B0D8
5148526C2C26484B34D85A6C000000A4FF9A2200000000000000000000000016876AF175194ABC3CAA9B4A3ED76AFB1DAA6C
A0000000FF2EA30000000000000000000000024C5B55D9750C955764E5355C5A51765D4345C1DAAEC98B60000000FF4BFC00
00000000000000000000008CA100D74040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (14C59710000000FF00F0000000000000000000000001AEE2C3E3499C450CC01D92F22CA0000000FFBD6000
0000000000000000000000303979149250ACD2CBC2E43B522DC22CA0000000FFAED8000000000000000000000000730CA48A
252587242D06E643321DCA2D20000000FF861E0000000000000000000000001432EF96AC10CE994299A71252574D97100000
00FFF68900000000000000000000000849B0A2592ED012C452826CA0000000FF8A6C00000000000000000000000313942897
1E1C1588B890FC2F1CA0000000FF147E0000000000000000000000002160F9A30207C6829171C1F630D92E2CA0000000FF1A
A600000000000000000000000000374040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (285C00000000000000000000000A58824803CC202A472420000000FF4E810000000000000000000000004B
261FAAE294A76ABB2EA9A9E290B45D5B0AEAFAAECBAB60000000FFB906000000000000000000000000ED17AD57618CEAAD16
CAB41B5A0EAB3355AB45C560000000FF8E8600000000000000000000001A8208207434A91208A41840000000FF6E3C000000
0000000000000000008A1ECAA68078D6AD0765BB41B261A2B3355AB41B20000000FFA40B0000000000000000000000000BB0
3042A490A11B0E40000000FF7449000000000000000000000002448010C8A085ECCA149860000000FF16EC00000000000000
0000000000296B1A590B29882500B74040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000002860FB8794C38B665C5BA5D750D5745D3B0A2984EC7A90000000FFA6510000
00000000000000000000261E24C60A2A1C1025BAC81580000000FFE15E000000000000000000000000E1B20B47E858AC85C9
749264ABC7A16445B2450690000000FFE9CB0000000000000000000000007208482018C720B90400000000FFC6F600000000
00000000000000000002683849254B9F40000000FF736900000000000000000000000320A4E0C488C04ECA227900000000FF
5277000000000000000000000003A0408889932000000000FF0FEE0400000000000000000000005A85AA68CC1F55E172AB41
91162B335DABC5D560000000FF00774040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFF00000000000000000000000000E1CA1690B48584CD18D197F08A2F93C3810000000FFED8C0000000000
00000000000001CE28BCA1693270DE2D18349B2E5298701E2C20000000FF11830000000000000000000000000B28570D2165
0B0E3C953A6A3C45871140000000FF22940000000000000000000000000000512800000000FFDB6800000000000000000000
00021000290CA52080000000FFD5CD00000000000000000000000022C8C91648B274E9BA9345856140000000FFED8C000000
000000000000000039420A172839141C0C69532980000000FF923400000000000000000000003922CC28B245922858C3649A
4D926830AF9440000000FF3D4100F74040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (290000000000000000000000028361E9E45942CA15433C603BE6D8493140000000FF21ED00000000000000
000000000650F9591E36165A4505A410CD070587522E20000000FFE5F8000000000000000000000010CA50D4B64E5F0E9F0A
4D9C3522F308A4DA1942A460000000FFED000000000000000000000000000B4C30CA151B0A0AC9A3250CA140000000FF1D46
00000000000000000000000072861B44507C2CACB46CFA5076E20C32FA2A20000000FFED9B00000000000000000000000E71
6CE5A6194C9CB8B468592199C9CA8C28DD22D060000000FF955200000000000000000000002115368E13245A42C26169D458
1D568C125878EC2810000000FF000F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000073CA1683CA851B44527E14650AA52E2A7D70C0000000FF5AB00000000000000000000000
039D4544F9B651B0F98726C281C65261261140000000FFADF1000000000000000000000000730C34A1A6C283E161B04DA411
362D3990000000FF23D4000000000000000000000000F622D2F238B29862A368B860F822A1342E5845A75140000000FF8198
00000000000000000000001CA1A6941E50B2936D36C8B4897090F49B09EA28000000A4FF475C000000000000000000000003
C74B2C3CA1653872D2CBB1451B056303E23140000000FF30320000000000000000000000010C36C0E50B2F86CB877CF1709D
28934A0FAF3C38000000A4FFCA008F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (650B2919493468E50A0BC22CA0000000FFCBCF000000000000000000000000594942CA0C3485A404950CA0
000000FF2D6A000000000000000000000000902CA4E07285945869347499A6C94E1CA0000000FFB2E2000000000000000000
00000121A39541CA141F32728A66D22DE1220BA194000000A4FF742400000000000000000000000002CA48B2A22CA44BB0A2
3291A42CA0000000FFAB14000000000000000000000002194950CA8416942432A194000000A4FFEF70000000000000000000
0000008654328595082D248E5432830CA0000000FFA622000000000000000000000052261971661B11D07948A3609B4A9421
4C2990000000FF57AC00000000004F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000108761650B284F0823C930829DB22CA0000000FF28E0000000000000000000000000E578DC0EA3A360
B572C4615C20928D064AB60930DE46D1EB60000000FF7B410000000000000000000000014E10EF2324E521C58EC9784988C5
447C9C3661F265D81F6CA0000000FF25E300000000000000000000000021942CA68E5040450505942CA0000000FF93BE0000
000000000000000000072865232C2D1CA24D4BA434729A305C2CA0000000FFE3EA000000000000000000000000420FA1942C
A0A2C80A3874C22CA0000000FFE97E00000000000000000000000247291942CA465347C9A70505CD1CA0000000FF23220000
00000000000000000000030CA800CF4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (711A1309C900000000FFCEA1000000000000000000000000D4D26E186584D94964F3B0B0A468387643A275
DC468B8660000000FFC344000000000000000000000000E7135C0F0ACCB03C6181FC98607A26184F4D86078C303C61A16000
0000FF4534000000000000000000000001038568E2699D060C47CA21593119288391A313A6661D074A20000000FF01BC0000
000000000000000000028749B2A0E5221D91648A860BA2CB56428760000000FFD26B00000000000000000000000E70375923
684BE450A2172DA11381E060000000FFD66500000000000000000000000003B311071414278C229634A8F20E207E20000000
FFF7BF00000000000000000000002F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B3048A2357DC0D5040000000FF0CCF00000000000000000000000538F2E840E0056000000000FF2CA00000
000000000000000000002BED46AFB51ABED46AFA0BA08CBF62357D255040000000FFDE4F0000000000000000000000054E0B
A87CD3A1EAF4C2AB8670EAE4B0709CC3CCE37C757C40000000FFEE120000000000000000000000072727272C44763CB141C2
3F2C40000000FFD33B000000000000000000000000049B341ABEE2AC1F8BA091A6A345915588C0000000FFA0710000000000
00000000000001CAA653897038A0F9186C130C2B05D426D5B321F46C822CA0000000FFD03A000000000000000000000001CA
33463327104930C109D184C94400AF4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000012E520500A8EA079B613F200000000FF78AF00000000000000000000000392
7121D61F252E0774C311E541A1F498687AC365C8000000FFE6D1000000000000000000000000530909C94346E23624F34C21
0D20000000FF127B000000000000000000000000C683D5944444591864A2643B213A2CF28B20000000FFF7A3000000000000
000000000010C34F2288582CA61610A2D8586D0CA0000000FFA01400000000000000000000001C8902E4038C4609290E3302
492240000000FFCC9C000000000000000000000001650A0A432D28A947523A822CA0000000FF3D3D00000000000000000000
0001592EAB57DA8D5F6A357D14006F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8A052305C61CA0000000FF94A10000000000000000000000006A979B6A357DA8D1B717411870C4649A1F4F
368B60000000FFA9A4000000000000000000000000294B31790298A489730A3617C34D0751AB60000000FFF81C0000000000
0000000000000004ECBAB7C8A0AE45C0D964C19DA30E6D8662C360000000FF0765000000000000000000000007231C1F387C
9C341CE19C9A8E145F3864F8C39404000000FF69620000000000000000000000008C361616488538D9312843B445948CA000
0000FF9D5B00000000000000000000000002CA14C8B61085144C59488760000000FF70970000000000000000000000000842
8C80FB61250120000000FFB50E00EF4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000002D1D774B87C9A31A66C4748B4AD46916504946C000000A4FF35D700000000000000000000000B65
C4D0505956857865E61A508B60000000FFAA6500000000000000000000000AF0E8DD076D4EAF1753AD26DDF0E9A0E188CB34
2EB357D86C000000A4FF02B900000000000000000000000393275FA2D18114165A4505C0F42E5245950CA0000000FF871C00
000000000000000000000072ABDA2F856CBA86ABB2EA1A46CB9B6A78A0BA278BA8E93BAEADAAF4EB60000000FFBD49000000
0000000000000000002BD43944BB6A357CBA8CB3E3C9B778B222D768E166BA56E5E360000000FFE342000000000000000000
000000865A0CD054266CA64D11001F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000143B014841A593A427844A0E2985A4000000A4FFD8B70000000000000000000000001D
3655412A695C2CAF174AB81E76AE1759D3C5D760000000FF62FC0000000000000000000000002AF6C36ABC255C52AEC9AB6A
38B2A27C98685C270AA7CBAA60000000FF8E6A00000000000000000000000019726D08282CA144A02CA0000000FF7A400000
000000000000000000035171B54D3A695E2E574D22C9C77AA174AA85D760000000FF72B6000000000000000000000001C8B2
8532282CB48B485303A794A0000000FF315F0000000000000000000000031B4DB0A0A30EE993A45764C9B258756D0CA00000
00FFFCA1000000000000000000009F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000019242CA48D48CA0E54E975944270A1DB22CA0000000FFFE1300000000000000
0000000000A71845942A348AA4526C2C24A3C8516C2CA0000000FF4DD000000000000000000000000591C516C2F2140B364D
85E433445B3872822CA0000000FF86B40000000000000000000000004328C31C362C3CA95B262BA11CD26D20000000FF6433
00000000000000000000000121A166D9CB228C3CA64E9424D9C90E2D20000000FF7EBB0000000000000000000000045E1942
8281165A45A41147590CC594000000A4FFD93000000000000000000000000029865B57033A695E3DFB74D2BCDC76AD1755A8
76D760000000FF133A00000000005F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (34453A089F23F12CE831868D568EACAB41AB60000000FF9A1D000000000000000000000002270991914291
54E272930E261660000000FFAD8600000000000000000000000013B261E20828981D235A252D209203C0000000FF66690000
000000000000000000001654CC93021609C44648922CA0000000FF94110000000000000000000000010C328618438A9298ED
102CA0000000FF891700000000000000000000000118650A0A20E4CA2AC6165658794C9CA0000000FFC55300000000000000
000000000147145B0B08563082B510A0B2F22CA0000000FF94CC0000000000000000000000021953344DD6221609CCE064B8
B70B0E8FE36CA0000000FFFADB00DF4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFDF2C0000000000000000000000003261E2323930E9644B30866084EC40000000FF9BA000000000000000
0000000000072007272C5100000000FFAD15040000000000000000000001CD566E37A8A74D2B45CAED07CEA6C5E49B9F0FAB
C5D760000000FF16810000000000000000000000002E4390E1C908048C40000000FF8D8E0000000000000000000000015742
E1348F032AF0B5764CD351C528683AB6A3A2629AAEFBAA60000000FF40AF00000000000000000000000009A0D90CD12B419D
D59768B11FAE57AD1EAAB45FA0000000FFDF700000000000000000000000009F44201669338590926840000000FF11330000
00000000000000000000113164003F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B28590AC9D65D159551A0D0CA0000000FF591B0000000000000000000000E5890812265348722468442000
0000FF520A000000000000000000000000F22C91648B2C90F69112EB24A20C243B20000000FF84F300000000000000000000
00004CA41E5A58744C5386548D8F46C177DD0FD1E147F941F114D9314D90000000FF3EA50000000000000000000000000E44
A20E64327106EEB1851220000000FF3261000000000000000000000062C9876443936158B2A22A160790F0A866EAE9F112D9
30ED90000000FF0D2E0000000000000000000000013C8209024376201100000000FF4B680000000000000000000000E40F40
09C642BC0D9071342E4000000000BF4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7C85059590000000FF68270000000000000000000000008F649CEE07459A5298744D9258C28DB9304C9CA0
000000FF6A800000000000000000000000013308B284DD2C3CA4DA299228E8C60B8A8AA29360000000FF4476000000000000
00000000007229455BA0C87F42CA369736C48796CC390CA0000000FFFF0E0000000000000000000000003C891141F6529874
4E5390CC51BE483E22A360000000FF69B20000000000000000000000021661DC8A4D9288F6D20292176CA0000000FF201200
00000000000000000000000280512800000000FF53AD0000000000000000000000001739420A0148654200000000FF4D9100
00000000000000000000064648007F4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFE3D80000000000000000000000072240F05879415268E584C516E1161330F2F2882CA0000000FF3B9F00
00000000000000000000012078507C126F978F0DB4EC787648B4F26A946124D56C000000A4FFE98700000000000000000000
000015E2283F4820BA26CA4DB2936D20A5C5C8A66CA0000000FF4EA70000000000000000000000E464164E524E70AC2C3616
50A29A904E322CA0000000FF1AA20000000000000000000000000F25F2B0E0B76141F0A6D9A3244B03E198A2EA2CA0000000
FF1E8C0000000000000000000001C8CC216C1D5914C5942A36154890A8459590000000FF0D6A000000000000000000000019
20E1DE20BCB881F0B4824F38A100FF4040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 32 TDI (0000C01B);
RUNTEST 3.0 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (36210000000000000000000000007D0CA741F0B285A411693472A1958D20000000FF11C500000000000000
0000000072076165C8D924C683EB45B0B2D22B14A4A0000000FF2885000000000000000000000003194E1CA52CB8E8D85942
82FC8A0F84D84E9610000000FF692E000000000000000000000000159C394A42936151F0B49C39490970C0000000FFF1DE00
000000000000000000000024B388B292EA136585ED0FC2CA0F0E81D0D22B20000000FF245500000000000000000000003919
3C72A229A2748B0D9C324C11417A4507C1851E459510000000FF508D000000000000000000000000258586C177470C1F38F4
A36C2608A0AE1052EC000000A40000C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000E494150C1F2329285A421E42CA4A0000000FF63E80000000000000000000000000F82D1CA4A141F
38728562322CA4A0000000FF99410000000000000000000000132859494D1CA1650BC80FD22CA4A0000000FFEF9F00000000
00000000000000005216505107C168E50B29A3A490941520000000FFAD8A00000000000000000000000005C29760A32850EC
2CB03F874B29426C14A0000000FFC6500000000000000000000000005248D1B1934728594282F48BC9146CA4000000A4FFD4
9D000000000000000000000029421D992D0EF164C3B0B485948CA4A0000000FF1FAA00000000000000000000002543291942
CA1690B4852194A4000000A4FF0080C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (71F98D08C666C68616120E2DEA8380E260000000FFDA2A000000000000000000000002215F391A1E4112E1
A24B2E4519941719B220000000FF2BCB00000000000000000000000128526C564E9B87997D64E944020BD0ECCCA0000000FF
A8D50000000000000000000000010D34A87D1E37A9B469F82BC6CB772E33A0C954E15CB45C5E1DB215EB60000000FFAAF600
00000000000000000000E79A5944C5B069386D4316504505C299761B04594A74A0000000FFB90E0000000000000000000000
1C949C394942CA1690AC82E82CA4A0000000FFBE05000000000000000000000002694328939429CB8BA6299CA1942CA4A000
0000FF2C1F00000000000000000040C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000129D82D18960BB17D2E1CA71D1B05D42ED1B311B472834E360000000FF9FBA000000
00000000000000000241384E8DA64F224271F4966230CD10415A711920000000FFEDF9000000000000000000000000599308
760B8A8DA4683CA0B27285842B845B48F0386C174660000000FFDBCE0000000000000000000000024DED0E6B91E619A62789
3646195E8D87CF261C1F4A2CA478A160000000FFE20400000000000000000000000899232D234C64C361930BB23024AEF25A
1C34CCE13E20000000FF42850000000000000000000000012AD91665D594207A28930A2A456461FA0760000000FF3BF60000
0000000000000000000081E17E00C0C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000AC9116C43A9616504596945CEAC8594D1920000000FF8C2E0000000000000000000000
00166A790C4B42D184CEFB51ABED46E824551BA2BED060000000FF8ECF0000000000000000000000000A1271190690259033
42C46460000000FF831400000000000000000000000166A347D88DD606AFB53ABED46A825511EA095F40000000FF89830000
0000000000000000000015C878B82EA1D9711A3A386EA3AAE1BA3A392C0E27C72F357A40000000FF01A10000000000000000
00000001C54B905F109D898F2C79E44B21F1023BA0000000FF47EC00000000000000000000000641D593D30DDF6A3AAFD3A0
91A623D400000000FFFF3700000020C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFCF81000000000000000000000000396A29484B64E4B03B7291896442B0000000FF7856000000000000
00000000000654B341BA14E229242151A6926F185480000000FFC35C0000000000000000000001CB103C63CC6898607B121C
E188F221D2F14CCB03DA5B90000000FFB1250000000000000000000000053819907184C61A4CC26410861300000000FF0E12
0000000000000000000000004BB3890EC916488564593A089312C8B2A2C0000000FFCE9D000000000000000000000014B915
1B43C5A42CA151B3274AD869151BC517969140000000FF9D2E000000000000000000000000915E13C3298A684C909024A532
5E8200000000FF98380000000000A0C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000002CA16564870CC8CA1650AC61522CA68C0000000FF6C2900000000000000000000000061964714DB
85A396A3F6BBAAF8B1EDD0461B37119376D76140000000FF301500000000000000000000000045E5E59716CD364E9BA52394
293624C8A8FF2E1CA140000000FFE018000000000000000000000042AD9662CA04330B285069144E0624594C7BA0000000FF
B3AC000000000000000000000007250C1F387294307C6183E72C19B0FCA1AFD4374C5F28689208000000FFB6890000000000
00000000000000159E4194CDCA13648A0228A2CA8B28D8A62A90000000FF71BA000000000000000000000000159722D8586F
5851B24524B2429943614000000060C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000002B269C3B1B49D28D214C8A0B89781C38C328228000000A4FF04430000000000
0000000000000068D95B2E2286608B4822CA169327281E8CA140000000FF7B580000000000000000000000E56D5EAF26CDEA
E5CAED175BABC5D76EF874A22C4E89975D9ABA8C000000A4FF718F00000000000000000000001A7642A3748A8B85A41161A4
54262CA03E72C0000000FF91C70000000000000000000000065D4B55C596D4764D1F55CD754D5765D4355C5A51765D4351C1
756CEBA860000000FF1C38000000000000000000000003265DEAE9C364D1719ABC5D76AF170DBA691C0EBB778BAEC2D46000
0000FFCFD9000000000000000000E0C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D389A8930894000000A4FF5811000000000000000000000015E2EB344D16F5B6BB770BAED5E3F12CCD2A6C
768A1751AA0CA0000000FFAAA90000000000000000000000010714934DC586D13149B55C174ED5764E551C5A51363F144F17
50D574A0000000FF776C000000000000000000000002D229B0E4D88E2CA8650AC85059447844A0000000FF3ECA0000000000
00000000000008B268BA2A36E8B4BBC5D26AF165DBA682EC31DBACD76140000000FFA72C00000000000000000000000029B0
E25D36CB6E4E5365114C2CA1692168F2971140000000FF7174000000000000000000000001C5B2349B64544C2D2141A45A41
7865A619493340000000FF7D2F0010C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000C445D5FE61950CAA1A264D85D4290E0B27CC2B1994A0000000FFCEF7000000000000000000
00000A1CAF1C28FF30CAEA860FB22EA1408B283080F4A0000000FF0D13000000000000000000000001C3A5083F88B2D3A183
E0B8A2DD22D2CC2E85458CA0000000FF66CA00000000000000000000000058CC693E88B2B30CA1650B2830936144A0000000
FFBA2800000000000000000000000070C9366D96C331652860F8546D916943C88E2894000000A4FF81E70000000000000000
000000015E2E9759395C5D7659E175DABC5E56EF374AB8DE764DDB51AA0CA0000000FFA1E200000000000000000000000015
8B29AD16C2765C5B250B69D2C30090C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF076F00000000000000000000000062309D94433028C8C2B923648200000000FF1FA50000000000000000
00000000A0B28939508B6169338A130D6886C4B0000000FF4BD000000000000000000000000224328870ED98650B482E0598
65A4530D94A0000000FFF1D30000000000000000000000021C520E2D91950A37C8A1AC5E8C2CA844A0000000FF6559000000
00000000000000000045C8A8DB5965843BE45C469964C85C24B29490000000FFF148000000000000000000000000C61B431D
858ED0A36346484DF32708986E90000000FFFA2C00000000000000000000000122175FA107F13656564D822A9434C2618547
94A0000000FF4A7100000000000050C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (040000000000000000000002D555351BD75AEC2BA2EBB579312DDA0CAD0755AB418CD760000000FF44DE00
00000000000000000000029E511200829A40E200000000FF86B20000000000000000000000005D13C7D5744E134CFD756CD7
75D5F55D8726D4764CABB2E6DAAE282BAB60000000FFF65900000000000000000000002B45D56A2C4B56ABAAD5A2EABAAE8B
A2D5A0C8A31596688CD560000000FF3D6500000000000000000000000761250E2525284C87224520000000FF81C100000000
000000000000006ACEAA5A2475AAD5A2EAB568B12DDA0C67A39590E88CD560000000FF806E0000000000000000000000C486
190F9108522B1A65A40000000000D0C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00324598493648B246D506506CE28C5601E0000000FF623F000000000000000000000000942CA15B262DF2
287EEB266D9A322689A37CB460FA224E10000000FF459C000000000000000000000000C793209932469290DF90AD925C365A
80000000FFD61F0000000000000000000000031642B20EA1DF22CAA1DA2591E696AD0B81CC303C9510000000FF35AB000000
00000000000000000E47A022594349284902491420000000FFC2E7000000000000000000000000E52301010C82C471829000
0000FFD31C000000000000000000000000158205944090207A34D2320C40000000FFFFF20000000000000000000000042049
0C472488120000000000FF91F30030C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000053090E251942CA14B30A8A23842CA4A0000000FF9DF90000000000000000000000014CC711B3
21A3285942D2C8A128DB268C360B60000000FFA12D000000000000000000000001488D853942CA0B8AA1B2CC26650610EE14
A0000000FF7AC1000000000000000000000002944D16F9449B0B28572620883CBC80000000FF229400000000000000000000
00000000512800000000FF75ED000000000000000000000000520800512800000000FF22F900000000000000000000000194
2C91942B21592244625918AC9590000000FF2A5500000000000000000000000018CA410C8931CE214D92229B5720000000FF
FF79000000000000000000000000B0C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000022960F25D9659396E5145B0B2AD2CC6F348B49294000000A4FF59230000000000000000000000
010A5A512B0C361650AC85440CBFC8B2E3CCA0000000FF2D7F0000000000000000000000253D4328594164D948C5A4322CA4
A0000000FF914D0000000000000000000000012828C284BB0B0F8566236AE073362FB26D24A0000000FF2414000000000000
0000000000012A468B2C8AAD98716C2B265D4B4781F22D24A0000000FF168E0000000000000000000000001FC5D86138650A
2D993E51C79A5C709C2D24A0000000FFFC3E0000000000000000000000001F85157974D1B0B2B2690989B49BA4514C870B60
000000FF5569000000000000000070C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00004B4B460F30B11650A1D997A49368CEA872850428000000FFE41900000000000000000000000C7288E4
3082345B258794C314165432E22828000000FF0D6F000000000000000000000012C462818653268F850ED6F208B294E28A64
A0000000FF55AC000000000000000000000012C0E53B22C22DAD3FC916504584D968F97474A47C83E0000000FFD513000000
00000000000000001282E53B941E511C594C3D2A1B86452850EDCCA0000000FF0A3B0000000000000000000000256ACC8E85
CA141F0B48505F5E4C767879407C000000A4FF2040000000000000000000000004A243653C728594E9E2498A8D9E384E14A0
000000FF85820000000000000000F0C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CA16505A3642E20C30850500018800FF30CE0000000000000000000000052448416945A394114145BD0E14
1C2828000000FF59C00000000000000000000000250ACA105942B2169120BC8D0500004800FF2F0800000000000000000000
000250ACA14491650BE9C321B94D182828000000FFA657000000000000000000000012A22EA141650B0F8597970C08B28505
000000A4FF54E800000000000000000000000094882A215DB2A22CAA18A0B285942828000000FF933D000000000000000000
0000004A14841650A0B484328505000000A4FF38E00000000000000000000000000F85210507C28117925061942828000000
FF95BC000000000000000000000008C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (790761140F5ED284E1860990000000FFC5970000000000000000000000066530CD66CC791365A2C5010B1F
8C2CB908000000FF7B5D0000000000000000000000004C3795B568D830D8741B661D856D1A472192A4C90EE2368DA9B2761C
2628000000FF434A000000000000000000000000A65E510CD47D54F0E4DA2C791142382F2953AAD81868000000FF518C0000
000000000000000000128C3A488283E08A0A044207822828000000FF4B200000000000000000000000634E062A26154B2728
5A74372141F745A42828000000FFB5DD000000000000000000000018D270E9420B29A3A40505A46828000000FF5353000000
00000000000000000005C282820088C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (200000FFEF20000000000000000000000000482486EC3C0490988C1250985692C272415E20000000FF4F42
0000000000000000000000190EC9151B50DA3336CA08A1D85186838B7CB0F4AD2228000000FFE49200000000000000000000
00000FC4CCB93D41C0EED86478C3C3D23B0D9A6C303E132D2F30C8721C88000000FF854300000000000000000000000066A4
3327073430AD11A578824911810CE23652BE8C08000000FF806D0000000000000000000000130988712DD46836593D443B27
3A202B224D924288000000FF0FF9000000000000000000000007295A9486A5919818503C8703E5B11300000000FFD4430000
000000000000000000018996220048C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000019A9F0303E123D9A8D5F6A357D3A0F2EAA3859A9D4C20000000FFE77A0000000000000000
000000012092B0C03165C8E7941D4A20000000FF77A40000000000000000000000066A37B8EACD46AFB51ABEE46A8245988C
CD464460000000FFE17700000000000000000000005291E1615A4F0DD43D5C3750F570DD1D1C92A71B987958678827020120
0000FFAA16000000000000000000000000053C79C71E58F2C796215F18457C4006200000FF43230000000000000000000000
019479230B351ABED4755FA5412288D1641860000000FF175D000000000000000000000000245BA5DD0AE23740B46C9A342C
175990FA343D51A360B4FC910100C8C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000019A50D28C24988EC4456BA8991B14C00000000FF0C1000000000000000000000003107C532C0
F64C303C61D3E8B86C89741C081E14C0FC5C707C88000000FFBE9A0000000000000000000000086906931871860F88C7B064
345C0108000000FFF5CA00000000000000000000000648B28A285F28A1624C2B3A091D7145922C9101200000FFD46D000000
000000000000000000A690B0D8594C3CBC8A8DB93850CB4C3285A428000000FF289A00000000000000000000000024474418
8C0E21361C26481254920417C401200000FFD0110000000000000000000000009497442B251642CA08AC9133C9B2638AC852
6C28000000FF910000000000000028C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (649BA53797D15361BAAF8B45D046D8B51A368A608B48A628000000FF1407000000000000000000000001CD
2861B30DC8546C2A92436524514D4716554501200000FF385100000000000000000000000534DD0B2EF90C987661F10A2590
1FCA3748B4F228000000FFD2660000000000000000000000031E6151C5F44F1E4E183E70C934623C3483EC41F25C303C2800
0000FFABCC0000000000000000000000004329904293664C9E45348CC34AE9330B2B4768000000FF02640000000000000000
0000000032851B7A0854EC68238246078A661D926D06200000FFAE5600000000000000000000000647A82317AE4562CCAE51
00000000FF062300000000000000A8C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4CB21A0F292D1CA16505A30EC286A3614D3045A428000000FFDB250000000000000000000000019AEF542E
544D07D5FAEBB578B86DDF0E9459388D5EAE957A3A553418D20000A4FF489D0000000000000000000000008E0FA470A3699B
87282D183E70D5B346E44DE38D34B872C228000000FF2CAA00000000000000000000000005D5B12D5707D1316555D9757D57
64C3B55C5A54381D4355E9A757141F55C502900000FF4D2B0000000000000000000000032AB0324095E3CBB578B86D5348DB
1DEBC5D37519B4F228000000FFEA86000000000000000000000012A381BA482347298726C2991461D3B0AC8228000000FF74
5A0000000000000000000000000068C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1741AA08000000FFC7A70000000000000000000000730BBC9C36A9A2EADAAECBAB6ABB264DA8E2D285F2F8
C309A46AB8A61AAE02900000FF11C4000000000000000000000000187942CA1650B498508EC9A37C8140000000FF9B3A0000
0000000000000000000CCA42C9B56DA6D5E2EBB578F95D34758B1DBB6CAAF174AA68000000FF964F00000000000000000000
00023629A0F285942CA149B645A5C9A961E50BA98502900000FF12940000000000000000000000004770E062D8D16C2CA162
30A11B025D85A428000000FF0508000000000000000000000004A34DB64507C2CA161A5A3A44BA44361690A0520000A4FF88
4C00000000000000000000000000E8C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A01900000000000000000000000C996C8C3F62368E3F8456429C5CA4A140000000FF091F00000000000000
0000000019C6168E5434E24387EB61B64544C122582802900000FF7B3100000000000000000000000088C28B6345B05D942D
76C8A524D9208C9920000000FF8E170000000000000000000000010907A491D2165045942D349A2530E880520000A4FF1459
000000000000000000000001295C2DD32BEDA6D5E2EBB578B95D34E8B1D936CD76A9B8AA08000000FF79EE00000000000000
0000000006716512ED81D24650A2DD22D2222581326080520000A4FF398D00000000000000000000000586AD172AB6D76AD1
75DABC5876A9AD51D986CD46AF0018C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (591B0900000000FF4FB400000000000000000000000024E0F8C7350EC2876C9142C722451900900000FFDE
CB0000000000000000000000030316DA89621E50B0DF2C4C8B68817121D088800000FF86A90000000000000000000000008B
368BB2490D8595CB8CCAA650A48888800000FF0569000000000000000000000000C4886647D421DA262CA148B1450D852200
900000FF1A7C00000000000000000000000A1E5D413507C2C76C82E91D228760AE5C457348000000FF5CE600000000000000
00000000213461453B22079126CCBCB491A450AECCA140000000FFE21900000000000000000000000728693CD2B2070A8DB2
2B2154F164B20CA140000000FF0098C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D6BF578F66DDA0C88B1584B87D5340000000FF0D7C000000000000000000000031E49C487130824F207239
841720000000FF897D000000000000000000000006A6F9C3EA9B2EADAAEEBAB7ABB2629AAE2943C1C5B53A9AAE2810000000
FFFF5A0000000000000000000000035A0C2B0ACB30AC93B2EAB568BC2DDA0CAA395990AEABA0C0000000FFD0AD0000000000
0000000000000C5C8B7607C9480CB28800000000FFDB63000000000000000000000008A3EC1C2724CC3D6AB54D1ECBBA0C8C
B1599A6D5340000000FF4C6E0000000000000000000000003C996C4716503C0140652900000000FFD33F0000000000000000
00000001891D40F24914D061410058C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (31993D223AC91071A0C0000000FF34D8000000000000000000000000C41F0619510CF5B45C1716D5314ABA
0E8F85442A90000000FFC6180000000000000000000000096408575188F2581985119051641C42B220000000FFCFC9000000
0000000000000000006411593295A30BCAA5A250DA3A3C882990000000FF29AB000000000000000000000000010395230C64
17029C1001900000FF974F00000000000000000000000008E0988C88001080000000FF1ABE00000000000000000000000012
C131C0E263920418824900000000FF268E000000000000000000000000013852121D253EA220E001900000FF0AA900000000
0000000000000008DB2AAF5D3600D8C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000039432A13F493C694A05E585A38427E6128609AB8408400000FF7AA600000000000000000000
0001492899184166508F2B3E1826D08D1E20000000FF53B00000000000000000000000001CD309A253488DD20B3889B30FCB
2C9801900000FF1B0D000000000000000000000000A661A2092928014140000000FF22940000000000000000000000000000
512800000000FFBC72000000000000000000000000015200512800000000FFBA1800000000000000000000003104C9C3D464
6488644391A0C0000000FFDB29000000000000000000000012C94E47C8566201F4A2E613051A41330A20000000FF9D460000
000000000000000000000648EA0038C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000521493C59B19D2787113629867CDD2D1D208A96D88CC3C27D820520000A4FF82D90000000000
00000000000000216433F454226C86C2846C282A10000000FF721900000000000000000000000469A49B61E4994942A260B9
90C5042802900000FF84DA0000000000000000000000030D098503C63C6502F2A86E1F2151B0AD23071140000000FF724A00
000000000000000000000392874F348591D0B4A4A15C8A0A26A1A2C8B0A204400000FF8BC600000000000000000000000012
4D20BE2C3A3083289949C4148B4688562910000000FF8298000000000000000000000006259A86C390E4AE49B01650BCB229
20000000FFB2BB00000000000000B8C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (856D9CACA868F28CAA690CC585D9179461961002900000FF3782000000000000000000000014D0C22CB8A6
FC82E9360B95B0ACE22CBCA172820065900000FFEA24000000000000000000000001CB216C942C9BC52E29428D1CA8414000
0000FF6D9800000000000000000000000066443366584E19496914C9BCCDC385E2B140C245878402900000FFA33700000000
00000000000000044F9E5107709C30B84E31A361BD22DB22D226541D130500640000A4FFB57B000000000000000000000002
996F9869750D292942D26514610500520000A4FFFC9200000000000000000000000E5CCA0A462525845A4C983F81B2F88572
000000A4FFECC00000000000000078C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (282F286500000000FF7BDE000000000000000000000001C9C3941848A3292B22A83163F432809924000000
FFC60A00000000000000000000000002CA68F9061944CA0BC7282E42C36002500000FFF5E40000000000000000000000000B
464D82290976269BE8C5E4942CA880000000FF59BB00000000000000000000001C92059591856DC936258B2C2C9C46165840
904A0000A4FF5B9E000000000000000000000000A4DA14294942D21650B2B929000000A4FF74640000000000000000000000
00165422329485A4219400520000A4FF9EB800000000000000000000000008B2830861CA4A08B492A19410000000A4FFC053
0000000000000000000000044000F8C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFDD680000000000000000000000001104CF3883D98FA0D3B4BCE200000000FF278F000000000000000000
0000018B229E24398709B361D999400A22C76480000000FFC7B70000000000000000000000060615D3219260A1EE58760AE1
BD0C3721C4C37EB471DA460027100000FF8F5200000000000000000000001489324E8344C39360B615A7D21DA8E89CAC8000
0000FFFDB000000000000000000000001C94B11194941142486500000000FFB28800000000000000000000003102CB038A0B
29283672822CA000400000FF088B00000000000000000000000390B294311949410282C3601C800000FF2A26000000000000
000000000000081650450594940004C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0803200000FF50CC00000000000000000000000008094E25C2E53E20B464D89661377976685C86ECA00120
0000FFA34100000000000000000000000026190C2B0372448109F9A4941E020024100000FFAE7E0000000000000000000000
0052287649B4198F16C2EC2981AB6B6500000000FFF5EF000000000000000000000045CA866181E8EA23B261EAC40F44C381
CB330E0FC683F49006200000FFF276000000000000000000000000524B072448C93630D064A4389F10607A4CB001200000FF
10880000000000000000000000421164924E144C9EA46884AC8B2000900000FF682D00000000000000000000000B48B2C820
703250A21D9946B979000000000084C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000008090384E48909426481E29856049020027D00000FF922D00000000000000000000000888B3
8A2C9164944986A1616500000000FF5287000000000000000000000000015D4FA89E899A8CCD46E82CA288D5079541006590
0000FFE5B9000000000000000000000001908400C80002E00000FF870F000000000000000000000000B351EACBA66A33351A
BE9DA6A35412A08002E00000FF3E3F00000000000000000000000008086751E8E19D860867883750F5709D8986E61E471195
7001200000FFB8AF0000000000000000000000072188904C4C7963CD321F2C4006200000FFC9530000000000000000000000
032448B919A8DD05939D11A22A0044C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (284D9430DCA66F1001900000FFB733000000000000000000000018714B30CA151B2599581E284125000000
00FF67E6000000000000000000000000154816607121E2956E2CA50120000000FFA69E000000000000000000000005A32641
01F0D2491B5B51B997585C80000000FF7B9A0000000000000000000000010E138C303D130C8FE4C3F69C65A683E40BF970F9
11C73080420000FF1C830000000000000000000000006300E4478599452006200000FF3B4D00000000000000000000000088
8B285A2CF28FB890B1862325C28B2006200000FF3B0200000000000000000000005B8993979151BA4594A4C9E348B2D30CA0
40000000FF1D2600000000000000C4C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (51341CC355C1756C00E6900000FF535E00000000000000000000000056B6A219B872AB6D4666BB74D05DB6
3F56DAEC00A4100000FF5A7F00000000000000000000000050D122CB03B4619A516368E183E005200000FF73D40000000000
0000000000000A23F8B479165D6DA8CCD565982D4588D1B6BB00000000FFA81C000000000000000000000000144B860AC595
8198CA49D8F2729A39410006600000FF65DD0000000000000000000000014E45384D971144B48A42885E2CA000100000FF9F
D900000000000000000000000179620BF2E182E70C162CB28F2CC20FF2E182E071283032220000FFB5220000000000000000
0000000AA79A483CBC8A6DD2CB0024C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C768A500000000FFD1AE0000000000000000000000010B91690B0D922E86334A348D0560BCA002900000FF
D4C7000000000000000000000000AC6DB6C4BE4CBB42C512850968993604BB00000000FFFA11000000000000000000000000
879C45A44768E50A62C9DA4338510528004C260000A4FF40F2000000000000000000000000505942CA8B8A2565930D942CA0
05200000FF4E3E00000000000000000000000AD7CBACE8B16518EDA8C25D56ED87290F6C46AF175D8018CA0000A4FF742800
0000000000000000000002A43163744597914265346A70326F94A001200000FF8F770000000000000000000000005266CBAA
68B8A0F926EBA8605D4B51C93A00A4C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF4E0F00000000000000000000000504E2C3616534312461A42D24A20F8500520000A4FF1383000000
00000000000000000A9A32D8F26D5E2EBB57D11D85781D2A9B99987AD76140000000FF9F8C00000000000000000000000A0A
4D92C3CA29C0E85825015A164D8500520000A4FFE3F10000000000000000000000054D6BC59B6AF175DAB07A5666BB2703A5
5F633D70BAEC28000000A4FF527E000000000000000000000002AE295761C5B45C536E1A7102EA1AAEA55365CC341F916C28
02900000FF34B600000000000000000000000141650B282544E1A42D24A140000000FF379E00000000000000000000000153
5AB272A2C9CAE2C66BB74D10E20064C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000A0B4D228B749964227A1B944DC9A26140000000FF978F00000000000000000000000A0B4A162C361CCA
CC2ED34E26CB1E4DB22910000000FFF3F7000000000000000000000001121949153B6427649489A114930B2A462800120000
FF4D5E00000000000000000000000D414328566CA326429A168587A42CA140000000FF16D500000000000000000000000063
C86544D94022686D44CF1CA140000000FF014E0000000000000000000000052196970E50C86F2A105A4283E140000000FF53
A400000000000000000000000282CA4B472B166902B869A79A486C2802900000FF9B13000000000000000000000000A0A2D8
59464C9368693249D20CA1400000E4C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D57140000000FFB781000000000000000000000002B4195A2CCB568B6407856672B56836BAD56892715AB4
1805200000FFA2E1000000000000000000000000148E8223C26698464654A100A4100000FF6ED70000000000000000000000
056836A87A2AF1749A911AB419166AB30C52B41807200000FF029F000000000000000000000001588024B64A85903484E8B8
0067D00000FF5CDD0000000000000000000000015CF248A182B2926C282B4AC92300000000FF106600000000000000000000
00220B492C3C209E52520E454929662800120000FFCBA400000000000000000000000441690A25A46611482E942910000000
FFB5D1000000000000000000000014C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF0C81000000000000000000000000883E01E16522C8CBC6DA911360B60B8A2DA208000000FFA1FA
000000000000000000000002404B90083232451E40000000FF11F100000000000000000000007211F1025D00092952480210
0000FFF4400000000000000000000000000A83622144659000000000FFEC1100000000000000000000001480C4D0D480148E
020064100000FF868F010000000000000000000000ED06578BAFD5E2E532C91D857418A716ABD6DAED5340000000FF2CDD00
0000000000000000000000072A1838C20120F20B9100000000FFFBEE000000000000000000000015714ABB2EADAAEC9C3614
C5B72A98AE292345D5FC8F17570094C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF28A60000000000000000000000009594A1650A445951350B1679942CA0000000FF1FB100000000
000000000000000028004A0000000000FFF22F000000000000000000000000E183114928634800000000FFC1D30000000000
00000000000001463372C91648B2A0C440564B2CB28801100000FFBB0A000000000000000000000000A48690126839926174
4D13E5132513A401900000FF32EF00000000000000000000001167165922C916549B341A2621584E64AB20000000FF964400
000000000000000000000A0F80F9A32EF91657AE89AD2178A26C85B25C596F90000000FFDDF2000000000000000000000009
1204032882089F683D413492100054C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (08A410D850CC02900000FFC68400000000000000000000000188A29C3882E4B0F0D896438245E503185B64
D2D850EC07200000FF6B01000000000000000000000041DC3A416C2CA4714204A4A545939408000000FF25BD000000000000
0000000000151C274A46C82E08B291163005B94D2A2C9CA0000000FFDDB1000000000000000000000029A42794E1C3644865
0136C41F48C59790000000FF3C16000000000000000000000001C316C14C2CB88B899786C28107ECA5B0B2F207200000FF9E
88000000000000000000000002CA4B118B282D19171C7D9AA0D292E22CA0000000FF9958000000000000000000000000A573
2E2D3CA14141442C41F445940800D4C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000916512C195D07165420A05DCB44C23B918D1B0B2A202900000FF25F100000000000000
0000000042C9A36ED229A86C3CA0A0E0A8DC2C3D2882FCF34CAC8A8D84800000A4FF9C6A0000000000000000000000001652
13C4596986138650DCBA47B8C3670E5018D20000A4FFBB8A00000000000000000000000D183E08C748597D3512472C2EA497
94B88B48009C0000A4FF6DAF000000000000000000000007278C1F7229C8E2CA319A420E874A264921D8C7CA36158B480426
0000A4FF99BE000000000000000000000001C39494A88A0F9C312386CA71E49A52B22D20000000FF50A20000000000000000
00000003A72E34CB88A0FC26290034C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000594D1CA141F0AC9176278D241D130A2D93E1D21382E4800000A4FF5E8000000000000000000000
0001650B29194C3B2865E5492628A664D1B0B480520000A4FF2495000000000000000000000001650B2859428282D21650B2
8594000000A4FF62AA0000000000000000000000005C3942CA70E5420B285A42CA1650B280520000A4FFAC51000000000000
00000000000143B32E8DC28C3711E0E0A3274A308A6141F0B2C202500000FF3B73000000000000000000000008DA0FF22CB8
A32A10546E11695B09F2E5CBEDB28482900000FF7E6A00000000000000000000000188A9DAA634CE18FE4E060B2987A42494
D5A360000000FFCE430000000000B4C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFBAE900000000000000000000000283E1650B28228E1CA1792C7285942CA0000000FF06C10000000000
00000000000000C590B2859411486505C0B485942CA0000000FFD86F00000000000000000000000003E1650B2851531C85A4
2C3688B28064900000FF8ABC000000000000000000000000B29A3942CA150C2148B485942CA0000000FF5E41000000000000
000000000000D1CA1650B285430CA25B6175A5DA466CA4FB1183100000FF0DA4000000000000000000000001A3942CA16504
564898A49183E161B0B48066900000FF96040000000000000000000000070E50B285942FA2A170DA2A514CCBB0B285A40290
0000FF318600000000000000000074C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0493464C8652E9042BA401200000FF4A4D000000000000000000000009B648B3492625A8312C9A0F142D16
4165918615A100A7D00000FFDBA100000000000000000000000015133E0719DD42E2344D130ED94AA41090AF942A0C031000
00FF0913000000000000000000000031150D20E2001E9DB9861372883419D60803200000FFA4660000000000000000000000
05B245946CA438AC4586DD14851B50C5942CA0000000FF99A100000000000000000000000506493755B470DFBD3CB41F6E4C
96D9A4368D0A462DE86D5DB729B6F28024100000FFA840000000000000000000000000C9D3CDA5DA86C98A61511B13EAE182
4A270DB26CA43A868D8065900000F4C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (DE0E00000000000000000000001C89E58F2C4C414F9C713121CE58800A600000FF22CB0000000000000000
0000003BEBA15F6A3D7DA8F5446A86466A357D4403200000FFE85D00000000000000000000001EA3078D342C145905D67955
1B05D1A364168E505A794004E00000FF9359000000000000000000000000404E4824864A2325039213918909C401200000FF
EBAB00000000000000000000002D2EC936513DB3946CA61C93845422976161BC459401900000FF9366000000000000000000
0001C927181E8997178C30BD3334C2F74C313C6BC2C986CBA881F41986C8014C900000FF3E0000000000000000000000000A
0F18415A207E290C2BA0F03269000CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000002C364C259E2C9C21650530ACA29543145B0B282236400000FF755100000000000000
0000000000B96204912481252392139182024801200000FFBD800000000000000000000000014A3285942941B2A6522190B2
859407200000FF6BCD00000000000000000000003B15D46D6AB57DA8E8B66A7541A8F5016466A357DA8C03200000FFD08500
000000000000000000000190E404E024396200000000FF6AA800000000000000000000000AC975199A8D5F6A3ABD9A8D5072
3D4102CC4666A357DA8C00100000FFAB9E00000000000000000000001A38A7918217BAAB82EA1E261BA86AB86EA1E2E481B9
8605D4755C3750C005E00000FF008CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A1B2683B105E970C1F78728480880000FFF38E000000000000000000000000CB9B96CC7B11563C40CD8F90
E1A49B6459429360000000FF79A100000000000000000000002167961E50AE61129C304D8586C29360000000FF9027000000
00000000000000000504921F2A0F14BAB10E4040000000FF0B05000000000000000000000000174364E8974A24A4A11000E7
1A400000FF281200000000000000000000001B60B938F230F918D103C25924507845303DD70C3F45C31881080000FF9E1300
00000000000000000000018D0D2210291400A406200000FF52EF000000000000000000000003512C8B34A2C5164CB2743245
94516406200000FF8C2F000000004CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (F98ECBA86ABB2EA3AAE23A86ABA955C1757D5765D5BD5DB754C005300000FFC30700000000000000000000
001535437A72AA692B5157DAEF505AB249ABC5D7759F1E5D80C7500000FFB33A000000000000000000000001946836787959
14146432C69045942CA0000000FF9FA6000000000000000000000003B0BC4708B2ABCBC263CBBD5119967207CAAF175D82D5
9360000000FFA0A50000000000000000000000085142ED0EC170E870CCD96070AE52492664CA70E5C5879401200000FF46F9
000000000000000000000002964D911D8726D6E28B0EC44DF2280DA616525C516C01100000FF55D000000000000000000000
000034C0F3860F9D311CC3F2C400CCC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A6AF175DABC59760000000FFE5620000000000000000000000328C361650AE5144622D2E690B2859401130
0000FFC70800000000000000000000000145B15C5CEC28334643524DB87A414C2CA61E500C820000A4FF3F88000000000000
00000000001D07F169C3B24D111088ED1D25270E50B280620000A4FF22A800000000000000000000000C9D96351B84505199
4A4942CA08B480240000A4FFC47000000000000000000000000AD06CEB68B6CB9753ABC5D46A8311EB8D2AFB5DD57E5D76AF
175980C40000A4FF845900000000000000000000000070D992D8756C28B71712512C41F9A3942D20000000FFDA7500000000
0000000000000028FA89661DA8002CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000430D859411691A8AD29A4E1CA68C08B2804260000A4FFF0E3000000000000000000000000B3C2C9B30E
D955365D5CB05EECEB52B6BB578BA8D5E2EBB01CE20000A4FF9D080000000000000000000000A5284E2CA141590B085A42D0
614165301CD20000A4FFA9A600000000000000000000001DC2CBB03C5A6D535933ED8AC2C77AF174ADA8D5E2EA3578BAEC00
0000A4FF52730000000000000000000000147A75B24D874ED5716934FB7C4CBE2ABB0F49132AECBA86ABB268980651300000
FFDF2B00000000000000000000000041E586D16C2CA1513272850505059405200000FF0079000000000000000000000001DE
2C9B459B955348EB091DEA28C900ACC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (50EC2C7615BB630E8DD22924A2EAD8D050EC0C400000FF8C550000000000000000000000010D2141F0B491
942CAC91430D8369916500720BE20000FF05350000000000000000000000194309A616526D47D434690A314329A305940440
0000FF7E5400000000000000000000029107A6116526105C71B216A3844A117C282CA0000000FF9436000000000000000000
000043098E283ECB6728B3050EC93196CD1B3860459408400000FF54D300000000000000000000000851316C283F48A89BA2
B214534B0E3860B2804A900000FF03B200000000000000000000000A610EC57173B387292D1C56165020A0A0B28064900000
FFD8FD00000000000000000000006CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000050613812C0C42E9C47289A1E50803200000FF45030000000000000000000000568B24D1642390
E2591E61B2AD3711EA89C3670B84859AAC04A00000FF37AF000000000000000000000002190C057C926652527952A5431474
A0000000FFD77F000000000000000000000000282F5468C26884F8E462360413923A41529400100000FF10D0000000000000
000000000010A361650B49430595965C8410A0A1D801EC460000FFA3DF0000000000000000000000008650B2AD14D0891416
1C612D522CA0000000FFA63A0000000000000000000000010936145B31F48A6C98914B643432F3090CA0000000FFFFD50000
000000000000000000045E511C00ECC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00018181D1202A1201A0D21F20000000FFC9E70000000000000000000000052489EA8C90C90C1224820900
00100000FFD44800000000000000000000001150608710515252130800000000FF708500200000000000000000000002BA0E
5459B955A2D9D5EB42D5363BD512BE5C36A9A578BAAC03200000FF5D49000000000000000000000000E50B223A9521581272
E4481007200000FF9189000000000000000000000000D764C5B24D074CF5765D1B54D1717D5761D5345D932AEC98A7AB8A55
D9756C00100000FFCC1A0000000000000000000000015A2CCB0BD1A2C9347575DAAD5A0EABD68305923C2B0AEA306745D560
000000FFD60600000000000000001CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (83E0000000FF404000000000000000000000000022C9164EB24692222C911D6508000000FF48C100000000
0000000000000004202E025CB361A200F5870C2880000000FF77AA00000000000000000000007381A309D648B245922C8288
8A160B92E0916488000000FFC2F20000000000000000000000017930F28526D68B749B69966C9B1E2C3B61741850EC280000
00FF4B2B0000000000000000000000011320A878E3C8F6A3A1C3341ABEA24380000000FFBC9D000000000000000000000000
5E461FA75916625F243A37CEA506C85110BC28000000FF2B530000000000000000000000039050F2499620C92A4010000000
FF166100000000000000000000009CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00021C59E07285C61159620B6585ED1A360628000000FF9C83000000000000000000000000289145BE4512
C2B34E20F821A6287648A2450503100000FF288B00000000000000000000000654B84D1D2138A27ABA58C7691B0F8C2F1CA1
610A0FA103200000FFEE4B0000000000000000000000008470E542CA2D1C77492D9A1A4D851BB86D08000000FFB5B3000000
000000000000000002944D88A25C86289661F0E1D8E451A669BF88AC452100900000FF3BD400000000000000000000000420
B28D656CA476141650A0A0A105200000FFD82800000000000000000000000000094004A0000000FFA34B0000000000000000
000000001014A121942105D090005CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C8B285454361E90B2A1122CA140CD20000A4FF16B4000000000000000000000001098A4249993246C36151
B2409E3942891650A0640000A4FFFAA500000000000000000000001C9C32196D61D0718C526D13B4CA9C458ED082CA141CD2
0000A4FFF9260000000000000000000000085C787942F914C56429766CE524C30E1D85059428000000FF593A000000000000
00000000007215C8AC85642CA14129259327E0744C16584502900000FFE6110000000000000000000000052870D266F18459
486F0307F148C526E91610B28501200000FFCC5B0000000000000000000000721703A61A791590B2801690A0B28506200000
FFB45A0000000000000000000000DCC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000A4FFB830000000000000000000000039432859411650A0B28564282E4A1650A0320000A4FF4ADE0000
0000000000000000000841F347285964368F50A0AC5A4687613688B28502900000FFC09C000000000000000000000000ABA3
661B472F22C361464E530F0A22CBCA50B28507200000FF7B2D00000000000000000000001A3A0C9344C5722085942CA1410A
8F8D059428000000FF0111000000000000000000000008454468586625C4BCE6DA26282B149BC4507C2A2141F0A0E6500000
FFF7970000000000000000000000326A61A794468E53260F82290714DB061222CA08A0E40000A4FFB0730000000000000000
0000000E6970E8371689928987003CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A08B2A10390A1992852102100000FF414B0000000000000000000000000C329C3942CA1592101690528595
08000000FFC415000000000000000000000043285942CA8B46251CB272D06979161B216022100000FF0E7400000000000000
0000000005942CA86542C8584A42876069B0B29102900000FF603100000000000000000000000122CA64E586195091143B0B
41F332859488000000FFF79A00000000000000000000000721650B2822CA8A46CC22E30A93270DC2942CA24DC8520000A4FF
F4E300000000000000000000000091650B28595082CA02CA84E50B0D91000000A4FFA49D000000000000000000000021942C
A1650AC8505A42CA4A1650A05200BCC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFB5BD000000000000000000000006950D1E51522694207A36642548245D90EC50000000FF499A00000000
00000000000000E687959B65C4D965C0D9256593622D266530A09228000000FF36ED0000000000000000000000035A8E5C0D
A326E23C9E595D27021BE325B470C8E2C67AC4E49C8ED9C87118A027100000FFB8BE00000000000000000000000024D1B0AF
970FD1D653966D922D2D24C964330B292E28000000FFBF5C00000000000000000000000724690B2828CA16513285642D24A6
8E504501900000FF26710000000000000000000000008653A72C22CA48D9632C203C782FC834DBA3E508000000FF7C5A0000
0000000000000000001C85942C007CC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00AC100000FF665000000000000000000000000142E483E9388248126181C9021BA330126188C101200000
FF735500000000000000000000000128993708A9DE22CA898A4D92C392788AA459594B08B2EB08000000FF8EB30000000000
000000000000030730E2FA6C383D934C0FC4D703D0730C0F1A703E341AB08A72785E6181E23A20C7100000FF8DE100000000
000000000000003972E1024E34985608217D0711934CC64A3788EE3C0C17462388C0820246300000FF9CB500000000000000
0000000003922CA4E8A564A2CE28B24421C32CA9922CCA28000000FFA1980000000000000000000000328D20824B08A9988E
2C7622161033C459447000000000FCC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (703F566A357D8550623D4562BA8D504AFB11AA08000000FFD638000000000000000000000000730E286072
4C8231A462880001E00000FF5B9700000000000000000000000004AFAEABED46AFA4AAA8D5F4AC9751AA095F623541001000
00FF74E10000000000000000000000033390C1381EE8664C3AB92EEA71BA878B84ED5E9465388DAB86EA1E2E01200000FF4E
35000000000000000000000001432418F211F9E453E58C1E72C7962838014D900000FF58A100000000000000000000001A90
98564A349506A354188D564AC0E8857D88CCB0000000FF1D7E0000000000000000000000022315930D142C272BD0B0556415
6504A70288B781C46C82EB208A0002C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2D111C25245A42CA42E8A094859508000000FFC53C00000000000000000000001C8C3E5911F9659659B460
F6219910C3E50B3CA2479100E40000FF0D8E000000000000000000000012B52498481098812C848C06200000FF10C8000000
0000000000000000024D0B31D1669A5954D164889630D0B8516249B2459220C5900000FFC8F800000000000000000000000C
C5950D24A50B0D85A42891410B2850EC28000000FF35BC00000000000000000000000210C920C4604950C125191C96410124
09204027D00000FFA1FC0000000000000000000000023A3282D1E3789923E9939449824415923A9105200000FFBBAB000000
00000000000000000730DB956C0082C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (46D5E2E23D4505200000FFD7B10000000000000000000000045D9356E9E2C3B8528D16CCA3648560997E48
C3616911C501200000FF1332000000000000000000000012C3CAA18B4D84E945363C9B25E450548C85AB6151B0A0A4100000
FFC12500000000000000000000001C9D347CE183E8A544507E1C5A8AA19C3E503CA140F0B080020000FF6A7E000000000000
00000000000596D6CAA65E4DA6C09963DD858F14986505C505C4000000FF12B600000000000000000000000187956C364374
8B4DF295699E2C4A50B4F228000000FFBAD4000000000000000000000032E884170452A2049702041652F274000000FF1CFE
000000000000000000000002140042C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3DDC00000000000000000000001CD16E5D77EB364DD86CD76AE160FABF1C46A8974ABC5D4ED6BB578B8AD5
1418D20000A4FFD8F200000000000000000000000C0CD07C87173B92D291397968E512085022CA149B90CD01200000FF8D4F
00000000000000000000000099F2EAD94E2877082EADAAE8BCAA9F0EA1A6FCD2ABB2EA189756D5765D4B4DC502900000FF4E
2B000000000000000000000000CC5AEC2B6CE541D9AECB3171B559AECCB84CAF2791AD0ED5E2E3BD4500100000FF73500000
0000000000000000000168E5A45A6E90650A9D8215948282CA1590A064100000FF6AF3000000000000000000000003272859
5966BB21C514D50623D454D18700C2C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7D5745CC345C505D5716545D9757D5765CC333E102900000FFC150000000000000000000000000A50ED96C
2CA1590B4922B21650B285A508000000FF29A1000000000000000000000062859268B3F299AECCB1655458EF514A9A3AEDAE
D5E2E3B66101900000FFDA21000000000000000000000001228A61652524D86F9151E45A4288CA150B11C502900000FFD480
0000000000000000000000011761E90BC5CEC94C9A7664E5C4505722CA165445000000A4FF2E060000000000000000000000
0189B4B870EF94A61C9B5B0F2D3D21792328503F91404C260000A4FF0C310000000000000000000000002CAEB4728669508B
61650141650A8D8503200000FF0022C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000193C0F11650B2A86A1ABE8694348594E1CA61E90A322900000FFE4090000000000000000000000
0014178B9D8507D0CA16942045950B16506DA508000000FFE7D600000000000000000000000282CA68E5432C0E89D213C349
A307C6CA169420520000A4FF008300000000000000000000000578BA8C87B653975FAB85D7EAB2759EB0AAB3651DEDAFD536
B35703000000A4FFB2440000000000000000000000050D942CAC0C9B42C45A42CA1650A420520000A4FFE186000000000000
00000000000578BA8D9149B5B5DAB85D76A9B99EA695C0E546DAED5E2F335703000000A4FF044F0000000000000000000000
049F2EA19662A7675D5F44D93900A2C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00040000FF744900000000000000000000000061E1445942876865251A433159A2C594973690B491000400
00FF78B60000000000000000000000058428B674E584F8B8EC8D288ACF22C7648A49FA29268A2521900000FFB62B00000000
00000000000000042F030B2A2D1C368C32834D2CA0E5B2D287D2E8B48500390000FFEF38000000000000000000000006444C
174594283E70D5B234A10597948E9716198504400000FF864C000000000000000000000291899316D172C1F66194A50F209B
2F3082F038B48508400000FFFD6E0000000000000000000000424A0FC5CA8B472D46283E4694298DC786C141E1B051394C28
000000FFA587000000000000000062C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00D51328EAAD1755856AAA43EB55EB47A2BD6AB23D18AEAFD6AB88D100100000FFD3460000000000000000
00000007215804240D26182C9481388C8001E00000FFAFE70000000000000000000000075C221D92BE5D5743EBEB5AACCB44
83EB55AAE9DA0EB55A2E68000000FF4EF2000000000000000000000000A4251AA1E4E2D3CD20A322D8DA5130000000FF5DCD
00000000000000000000003902764672258CA52503D660B940E69A524064100000FF70E7000000000000000000000000A0B2
9A387C85D20B34C22F2CB2728CB45E9149385100008000FFCC94000000000000000000000000C99116545C316C8761C3790D
1A4961D08B1DA4643D2918B49100E2C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000A40CC4685CAAA30020000000FF475E0000000000000000000000001324BA0408
45562F424E40000000FFB83C000000000000000000000012702764609204082C8009F1197205200000FFC471000000000000
000000000014814A87C803C2906200100000FF92D1000800000000000000000000064522CFCAAF1755AB4181EAA355AB41F3
55DD75DA36D5F55D0755EA28000000FFBB53000000000000000000000007204A1B321B1E6109D19832098E204040A5900000
FFB3760000000000000000000000010E28B3853755DD757D57161B55D8756D5761CABB2EADA2EABAB6ABB0EADA6F08000000
FF4CAB000000000000000000000012C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000000000FF1B000000000000000000000000000240A900A4A41010000000FF951A
000000000000000000000000AC9111650B2024648B2E40000000FF7B2A0000000000000000000000000530014691CE260986
13B30C5EA410000000FF47EC000000000000000000000000A1966C9164881E7591922126CD288D6320000000FFB57A000000
00000000000000004329B394277AE55B0D992ED4B141445A6C2CA4A0000000FF966900000000000000000000000E81E338C2
13F3C920A207F303D237E1B38C2B92CC31AE077200620000FF42D800000000000000000000001A3648CBCA2079976494282B
2142B2528100120000FFA556000092C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (291A328DA4A428970C32A2C7866C83B110C5900000FF61620000000000000000000001CC2C3896CF2FAAEB
0EE8370E529438830CBC8B29B29444000000FF12C50000000000000000000000E42B215F474DA930ECC3CA4A942C50BC6CC3
8A6198328067100000FF5482000000000000000000000008891B3A1C32B8B26CA40F150E072C2C9D24A0000000FFAE020000
00000000000000000000140B8B2CC70CB608B294BA2F2CA2E8D8524C9405200000FFD6350000000000000000000000724593
8E8D9B795D3A378A950E10670D216B3C419403100000FFC589000000000000000000000000ACE22CBC8B2AD94A5985CC3285
A69520000000FF0024000000000052C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (008000A4FF505E00000000000000000000000393466D907652374C3F86146C906550E1A6170CDB31F29280
520000A4FF1EB8000000000000000000000000D190B28B272A30CA4A50C43B564DAD9494000000A4FF211C00000000000000
0000000064512CDD81EC8A2D8CE898F7C83F645A468B661E525014D20000A4FFAD5F0000000000000000000000084D142C91
C36158B4B2A1A37883B54E10586C6CA4A0000000FFDA3F000000000000000000000002FB0BDB2F228A686525420B822CB218
B2928102900000FF5C0E0000000000000000000000008710618459CAB1F47292F228283E138B281D8101200000FFA6CD0000
0000000000000000008456483D00D2C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A4FF366F000000000000000000000000505942B2159250B485942800520000A4FFB5040000000000000000
00000002282D208AC856407C2D2141F0A02404D20000A4FF8442000000000000000000000002950D0734625A3651368D905B
0B4B30CA61C002900000FFBCD40000000000000000000000024650C50552C2B205D1B0A8BC8628774B278D20E22404D20400
00FFBF9B000000000000000000000042C99264A46C92E1CA8C329285050FD6CA0760000000FF6F1400000000000000000000
000283B65A0D236428760FA67295985A4CBCA078B29280A6900000FF5FFF0000000000000000000001CD28CA8593864FA194
C83E0B8683CA70CA165B03D2800032C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8A162F85861000400000FF9A9E00000000000000000000000228282E1590493A4A434386C2800271D00000
FF33DE00000000000000000000000014169346079194969728650A00000000FF1D4F0000000000000000000000E428E1D215
923292C378A2CB374DA269250615B31186200000FF7029000000000000000000000002811652349939431D2C697470D85006
2C4B300000FFAB4B000000000000000000000000C3F216954749A3640CCCA368F672C22C2002500000FF4072000000000000
00000000001C851A3A541F2154B73481F4D308B6D852614215A230520000A4FF35EF0000000000000000000000014169220A
C81992A4333651A7252052000000B2C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (91D92200000000FFDBFA00000000000000000000000026184F111D9449302A49658182CC291C264990005D
100000FFC6FF0000000000000000000000004F2659094860469C6C32510003200000FF73D000000000000000000000000A1B
264452EDD850B6062D948544898F661F0300000000FF6EC400000000000000000000007288B8E06E18B60C3E92B86C29C27A
3B5EE897818BE4F0E919904005600000FF2F8B000000000000000000000008F0833E5C9BD0D934BD1D0D1B0BBA452289D361
4002100000FF0EEA000000000000000000000000148C165346CA1949428A1CA08A00000000FF706F00000000000000000000
0005382CA68D922A9B905C47730072C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000CE203E6330D905581D110988A830994403200000FF3AF800000000000000000000000A8CD41C4B
76590DD9416AFD25D55393C6CD192001200000FFEE2100000000000000000000007349321E0F8A30792618249215CC3C6230
54B242706741827C4004E00000FFE17B00000000000000000000005AC6D4CD23343B03C594CCB88B885951B68300000000FF
0F1600000000000000000000001C9868C0F09860788F42D2330C4B08E07D0E69C3A591EA791240014C100000FF3757000000
0000000000000000024A632703043388F070AC0BE07862327648209261890401200000FFE426000000000000000000000011
C0C45984C714C18751119F524100F2C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000024F7227188C902498812410240004E00000FF2E3F000000000000000000000010BB2198F42C8B2459
0B41651900000000FF04E80000000000000000000000C59455A0C67FB51ABED4666A354155735D46AFB5199A8C03200000FF
2AC200000000000000000000000EFA2464A19948C054B20C8E32480F4401900000FF50A9000000000000000000000008F12D
D54188F566A357DA8CCD46AC260D57ED46AFB5199A8C00100000FF9D1900000000000000000000000213E51C3C3347070CC1
D4E232951C4B89C85D2A1AAE138C4005E00000FF07F900000000000000000000001CB9E429A0EC796263C8388124C4000A60
0000FFCD210000000000000000000AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8D84C201900000FFA6E9000000000000000000000002834DB285426CA04C0CD4932900000000FFA21A0000
0000000000000000000283924ECA3CB22589081C421612000000FF00B7000000000000000000000091878497E449A0E72459
012900E54A14400000FFE8350000000000000000000000072E99E13857656181E442B63CD2E56330C472D6200861A40000FF
AD1800000000000000000000000143107122203132220002CE200000FF262800000000000000000000000087C443B2CA3E88
70AD486182B24D984C80E54E200000FF4C9E000000000000000000000031193869843B84D26C2CA883486509B002C9184000
00FF8DAB000000000000000000008AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (02900000FF3D9E00000000000000000000000C314C8B1D96F6977ADA6980F2B0B30E175DAA0D2001900000
FF6AE6000000000000000000000001D34165C4526C6D231848692500000000FF2F830000000000000000000000025C50544F
5D49332F8BA4CC4647C2A59ACD505900000000FF933D000000000000000000000012D1893349309D184C915130C8C5218801
200000FF52AC0000000000000000000000003C512CB8B6144B30E1D889228CF4692500000000FFCDC9000000000000000000
00001C9C3E4E1CA70F912D1386C25F248BCC26186F8821DB1259310851640000FFD836000000000000000000000000532C39
87A1CC8B8D8A70312C47A2E924004AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0D9D0000000000000000000000010B9B43B229DF22CA2964D13E454EC07AB60021300000FFBF7300
000000000000000000000A14D8726C49D2465B0341C597A4A400640000A4FF486C000000000000000000000007284238A4DF
2638AFCDA6D8E5C30594A400C40000A4FFFB09000000000000000000000003A69116525126F161E50144A4A0000000A4FFFB
4F000000000000000000000006456B6C7CF7AB1F2EA2CA66A355C32BED76A832B100C40000A4FFFF1F000000000000000000
00000045E543E2D93B09C0E2C363A48D926194940025900000FF5FFB000000000000000000000000CB86727AA27C986699DB
6D81750D1786955D1756D5752000CAC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000008CBC8B490E28B7C8B29491352D1D24A0000000A4FF5BB6000000000000000000
00000A9B2A2C39E6B49B41EDAECA55C0C8DB59999400620000A4FF9F8C0000000000000000000000008D3C8B2858CD516519
12C5058EC16C00A40000A4FFCC0E00000000000000000000002A6D207DB4B3C59264DB5D8CABC5C47AF175D99AEC00A40000
A4FF97AE000000000000000000000000ABA34966C3866ABB264DF2CBA364A83B2E89A6F6A366360021300000FF4E84000000
0000000000000000002D2A18A89BAC38B748B29285622CA4A000100000FF7A20000000000000000000000002A69164D1B568
386D5B4DB0E8AD45954D200050002AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000016E11653268585A54EB89AA4516C6BE59A402850800000FFBB77000000000000000000
00000093949165E593C46CA0225C2717483C800109E20000FF7807000000000000000000000019326D916952C510E2CA1858
2B690008400000FF10410000000000000000000000008A7788B4D36EA89A17294B308F9CA52004400000FF00D60000000000
00000000000000B2A462D215965CB86DCC2625A26A5C796C1C6900000000FFC3C3000000000000000000000006B2D22CAA18
B4F2D1C36A69607098505F1261B6408912900000FF5672000000000000000000000002CA29B1F48962C26958B294A190C936
907936C0003C900000FFB7F90000AAC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (55A2FD12F5D4CAAAD1E568B4ACCAA001100000FF425F000000000000000000000008A9E5C8042E71000064
100000FF782D000000000000000000000002B47A3AF287AB41B30D167580FD75459568F19D40007D900000FF391600000000
0000000000000002E3CF2919970CD338448623A1062002100000FFD4B70000000000000000000000002838B21172005C686E
4007200000FF1126000000000000000000000008650A0F8505A4F591436914DE8E400C920000FF3BAB000000000000000000
0000332B22CAE2F17270986642C471465D131900000000FFE40D0000000000000000000000021944B1650A5B0985664282D3
65A001C80000FF416200000000006AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D14170306174C820000000FF452300000000000000000000000059024821C292410543540400000000FFD0
4C0000000000000000000000188C93418900AE820ED19E80000000FF55E100000000000000000000000C5C9880902E042007
200000FF9C070000000000000000000000042720022621CE2003100000FF66C900400000000000000000000005745D77ADB5
5AB75D1F55D175580F86D5A0F9AAF8BAECCB2003200000FF06220000000000000000000000054C1389181041080100000000
FFF8DE000000000000000000000005765D5B551757D5765D5B55D9756D48A6ABB2655D9756D4EB6003100000FF172A000000
000000000000000015A2D5E75600EAC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000B28526C2CA14228A2084E07945100000000FF180300000000000000000000000140000000000000FF94
29000000000000000000000038605201CA1000000000FF57E600000000000000000000000888B24590A11506251468344210
000000FF501B000000000000000000000000A4E4050C10C0F88578182640000000FF816D0000000000000000000000093648
81E4592244507C928888A640000000FF08E8000000000000000000000016CA84BF24C546C2B1489D2CF0374941C61C24B2C8
20000000FFDFF50000000000000000000000012C0CC08E09A473C983918560E0E110000000FF089900000000000000000000
000009872822A16C8AC8A62A13001AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000070F294305C2A914E58F211E1044ACB10000000FF6574000000000000000000000005
34CA8513E456428606C509291A20A239A2044049220000FF974400000000000000000000000085B2F294325F914C8A0E5D09
E162A0D122044049220000FFC466000000000000000000000004CAE8B28D644F14101E63C8621C7924C000100000FF5D5600
00000000000000000000131CA161B0A44525C9C314124D90D071CB10000000FFDFB20000000000000000000000122CB8B0E4
DF22B2A3B0F89882682986468300000000FF00570000000000000000000000008E9611590B2D2280BB1A0C4223C000100000
FFB34700000000000000000000009AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFCBCD000000000000000000000001F88A78A962985C42B92237B0E19857764BC40003300000FF2A350000
0000000000000000000061D13654F22C8748AC46342C3F208A00388200170000A4FF49E10000000000000000000000447882
E8D564DB8B2DE4056A274E26248100520000A4FF787D0000000000000000000000011C59618417C0C50D0E61459B60706101
00E40000A4FFB3DC0000000000000000000000045295344D164D124D73C8CB45E91A4E308004260000A4FFD9E60000000000
00000000000085A316C47871E4548F164C10B00E217100000000FF396A0000000000000000000000010703E08AC850501130
1E51840402900000FF69130000005AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000036534604594298BD05105A33C628AE40000000FF758500000000000000000000007207C2CA16
51A7244C144C2F268F904A10520000A4FFE45900000000000000000000000149B0B48594284421E2C264C0000000A4FF56B4
00000000000000000000000250B485942805A4282800520000A4FF126D000000000000000000000001650B48507C28050505
0209000000A4FF2CE900000000000000000000001CA366CE90450ECD1B13D14530A8C262800520B80000FF80B40000000000
0000000000003C72D22D208B2D2D1850EC361C1416181CC62303100000FF6A0F000000000000000000000001398B4830D3CB
0FC850224282E22281C000000000DAC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7818AA79597D1F285B260E56C80801200000FFA672000000000000000000000039384E83B45BC0F0396914
1208B0E2D193038001100000FF5A1F0000000000000000000000005268E943282280505000000000FF9AA200000000000000
00000000008D9A394283E14E618444C585115E80000000FF63EB00000000000000000000000068E50B285942844056E18D1F
2007200000FF86940000000000000000000000003E14170B282284F34815070A00000000FFC2630000000000000000000000
3460F9C3A42CA3442293613560124887200000FF790400000000000000000000000C7285641141F0A1EA988DA3506D1BD002
900000FFBDDE00000000000000003AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000062297709B0F2E2C9CB09A72E450512C9925980000000FFEE5D00000000000000000000007233C0F48C
D34BC61FB8A27A13D6661C0F0A21391E880806200000FF8C120000000000000000000000048709F28857882B81D939E795EC
902CAB764001200000FF5D95000000000000000000000011A4D41B28A2C85010E651428A9001100000FFC255000000000000
000000000038E48E9C1D90650C8944892620943040000000FF1DEF000000000000000000000005B8B9271A7931C538F222C5
4E3C80000000FFBF4900000000000000000000000346898A62CA4F21DC228046A116236400000000FFE41800000000000000
000000000122713B47EC56178700BAC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF096A000000000000000000000001528E0024C856091000A5900000FFC9F30000000000000000000000
0057ED4E88257DA1D50472EC26088005200000FF52D700000000000000000000006AE1BA8FC8AE55C2749D5701258B866A0C
946E481001200000FFD784000000000000000000000072C588966389CB131061C7912E43E400C4100000FFAED70000000000
00000000000000AE2B44124AC265410D7965109000E5900000FFD4740000000000000000000000030321E548C8712C1750B0
45038812CE070ADA350EE81001200000FFC644000000000000000000000000938B315A208672182009C9E40A130000AC1000
00FF8E8F000000000000000000007AC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (284D490839000000FFA0A30000000000000000000000030C0F62078A6885E160725430BDA94E8D8E079D3F
449862000000FFC6BB00000000000000000000000014C905620C42980CC6352006200000FF26390000000000000000000000
0475451648B221C4A285C261E30A225887648806200000FFDA2500000000000000000000002DA43386930F2A1187942C2078
B0990BA42800800000FF9489000000000000000000000000125C85E04990C101C419C6644604902000AC100000FFD7380000
000000000000000000045197D1650A85850594882158B2A8781CA440000000FF10A50000000000000000000000095FB51966
43BCEAFA5410A4C35C6400000000FAC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (32EDAED534165D457A3968B32140000000FF56FE0000000000000000000000086947876493650450597124
16524C36428005600000FF891B000000000000000000000004790AC33145B0B2850597965C165E51A42807200000FFEBA100
00000000000000000000070C0F3860FC2C983E1793860FA26F270C0F5874854412000000FFFF270000000000000000000000
0216C8596916524505959E1C1259B09314CC9801C80000FFB9F40000000000000000000000093642CB88A1DE2299165A4564
961E923098662801900000FF44D80000000000000000000000050459245222040F88564400040000FF90B500000000000000
000000000B54342C7328730C250006C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (13D20000A4FF68E6000000000000000000000003472866C9D36198B285030CA02CB11C328500640000A4FF
94150000000000000000000000002B92EBBA1C50ED1B6BB54D2197511E954D67550E50A018D20000A4FF6ECE000000000000
00000000000068E584594683E1486512085303942801200000FF2A570000000000000000000000002B82EAD966C9CA9BB2EA
FAAE290CA8BD34AAEE1D389A328500E6900000FFFA8800000000000000000000000578BACE2F151BD6DAED5C0C08B2ABE953
65AACC8500A4100000FF09A4000000000000000000000008A930E5D8595082CB88165241642805200000FF4DEB0000000000
00000000000000575593667CCB0086C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5E164D896C7926AF175DBA695E2EBB56832B07CA49B34DAA68000000A4FF73CD0000000000000000000000
006B89B24F9394D5775D5B51C52A68BAB69B8B4AAF4866ED38DAAE2802900000FFE49E0000000000000000000000010C22DA
0E2866165A4505942834C08B6140000000FF7AAD000000000000000000000002BE1C53445A55E2EBB4456B6D76A8ACABC5E0
64FA4DAA6801900000FFA4EC000000000000000000000005369D2C3AB6165A45722CBC8B485C416C2802900000FF72420000
000000000000000000108B488F6CA16509D1122CA1416144F99428000000A4FFCEB0000000000000000000000042A79165E4
59414416511DB7A42B94650A000046C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (183E1488B4F228A54CA840000000FF4D5D00000000000000000000004328AC9D20B464D8229115130B0394
80F9428031800000FF4D3A00000000000000000000000130877C8B2E22A3614161330E0B9291942802900000FF3343000000
0000000000000000010C36238B1D8507D9341690AC88DA186C2801900000FF855B000000000000000000000004308B748A89
82D1CB8C20A11E105D48A6A18A2D8500520000A4FFABBB0000000000000000000000015E1FAA36D2AF975DA3095E2EA3D612
A0C2625E164DAA68000000A4FF9037000000000000000000000014CB88A898596915CC329195CCAC821D85004A0000A4FF3B
D400000000000000000000000100C6C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000A11C17258990461C96590488C4B0C30002E00000FF53F100000000000000000000002194
2D3CB872B22A2159E4532A28ABE840000000FF65D20000000000000000000000422DE22CA161B0A0AC81750C9436465A6144
00420000FF46B6000000000000000000000000865327499386D9141593209291950862000000FF792B000000000000000000
0000043284F6D268E50A0A85849286DC926840000000FF2F370000000000000000000000210EC2D3CB57285842B9420D34A2
88B6CC288240420000FF64E20000000000000000000000004A265DD36D388A0F85050790A48CA840000000FF969400000000
00000000000000044D1BA45A4E0026C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D945CBAAD5E2EAB54D2B45CAAB0E335590BABEABA0C0000000FF603900000000000000000000000041C071
52311281C480BE2080000000FF6E4B000000000000000000000015D8746C93C5D5F55DD756D5714ABB2EABA1708105D5B41D
1756D57140000000FF497300000000000000000000002B45D562591E85D5745D56ED06568BD48F24C0AE2B0AD1D6ABA0C000
0000FF411500000000000000000000000459271049084827A402B00064100000FF9608000000000000000000000000AD1755
A212D5AAEAB44568F32D144650B41C5F488002E00000FFD08C000000000000000000000021A691264B88144E062121D951C1
7140000000FFEA24000000000000A6C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (64E3A0C2F00246488000FFBE110000000000000000000001CA1942CA08B0D8105949842B84516D13E2E50B
4A20400B28518800FFE60A00000000000000000000000E4C5CD2015223E160837A4A7D44B89C3203900000FFFBEE00000000
0000000000000002CA45922CF21D6428ECA49DA0F91A40390AC8518800FFC2660000000000000000000000002C40033C5162
08E03901900000FFE9F900000000000000000000000024989E8214893247C37CF311948870000000FF83B800000000000000
0000000009044724240A0CC820CB040002E00000FFAB2000000000000000000000002948E9010188208000000000FF71EE00
200000000000000000000015B00066C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000618B2A86C38B608B0D805A39663459327AE3E1D216500F49508000FFE189000000000000
00000000000103A328597168C530043E268D27870316C280480829508000FFD3E400000000000000000000000108B2859611
6130E69D51522A986530E01489508000FFACAE00000000000000000000000000028000004A0000FFE6940000000000000000
000000000034605CA1E42102004A0000FF981300000000000000000000000288B24592422C910C3012345856004A16508000
FF6A0A000000000000000000000014B1E4398402E4464A668AE62994B208000000FF4F7100000000000000000000003AC916
48B24911A6078C68B92CD9A48700E6C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CA19428000FF7DD60000000000000000000000311650B2822CA09143B66184CCBD34A261D8564029261942
8000FF4E3400000000000000000000000E787A45879246C80454EF18511873BA16613B49B001A307C28000FFB04F00000000
00000000000000108A2990FA50B202CA85DE7145D81A168B26ADB202430F2C228000FF0BAF000000000000000000000000B0
F48564F1B273881FF521BD40F0A97A8A2980498B872C228000FF34870000000000000000000000188B4BA2CBEE8A25825223
A59C5948C3B04586C0480B28228000FF86690000000000000000000000143B8C5B22E43283478D996882A1659B6748596901
9399508000FF6DC400000000000016C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E0628000FFE7D50000000000000000000000012DB307C144C48E56792D97033493469A6941D9245D41014A
1A428000FFD3AD00000000000000000000001ACA44C8650141F84CCF01EE9A3607BA607B22B90240513865845000A4FF5F3D
00000000000000000000000083B0E2FB291E2841F1C8A4D8A7ACA688B89930CA08AC80520C20B85000A4FF48DF0000000000
000000000000030C586E144F143282042CA8A8607E86E9B2CB87E856401943285000A4FFF931000000000000000000000007
228B6EB0F45922CA31816522E2B8562A166B87A856402943285000A4FFD0100000000000000000000000012728A70A278C32
9C8D46E53854B8F4E291EA14010096C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A68E585A39488A608B2F308A613672D1B48C50504148521000A4FFA85A0000000000000000000000008650
B28595AD348A8DA270A26063B222D85050290B2A1000A4FFD991000000000000000000000005942CA165250B285A4A495090
8148521000A4FF27F200000000000000000000000070E5045942CA4650B2822D20A53B2A1210290B2A1000A4FFB7D0000000
00000000000000000B2AD94D1B24650B2B22A2690E9A69950A0EC0C0A4D1908000FFAB5D00000000000000000000000A8DF2
2CA15964E394C9D2E5E9843326F9449B424209734859508000FFAA53000000000000000000000014A264DB2321940C62CA15
4A23D822EA29C2CBC60189A8720056C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1B000000000000000000000003938748596116505BA52A1420BD2865961222802022828000FF3687000000
0000000000000000393860B85942CA441F05283081C493432A1051C889C307C28000FF96730000000000000000000000022D
20B472822CA46504594185CA9C9D2830C208A002828000FF25DB0000000000000000000000010D21650B291942CA0E060712
CF164BB2CE1050400459428000FF85180000000000000000000000001650A0F8594A42CA86981C49A381CA86144500930450
308000FF00BD000000000000000000000022283E1650B2B5A4D193745C259E0719D2081FA45E42800B8507D08000FF582000
00000000000000000001CA2A1C00D6C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (30A130D100320000FFA4880000000000000000000000396269E1B02610D844750619F388CE047364480446
6CC70000FFDECC00000000000000000000007290CCB6744061C8990586F911E0408196694A0000FFFE4B0000000000000000
000000000B0F2859543E4E945284D91109913954E2440219508000FF02D90000000000000000000000009DA794168E3BA1DA
384C126581C3386F2329C88C330AD239753E61045005681D2350708000FFCB590000000000000000000000042A1CAA7DB2E2
E1CA61B329C336D9461740F46D077DD13324A46681092346D08000FF66C2000000000000000000000000283E1650B2928594
7198CA769014021E508000FF4D0036C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000D5DA386C472DC4B84C5CAC2CA0BA25C4EEA230CE5C0D8726E071612200CD21F46C
C4628000FFF5420000000000000000000000009C4125839210992883761711A860B938D6619C86009024810000FFF3600000
00000000000000000000587951B51B30ED0ED0CB88A4D88E294D76B655A61922839059428000FF5F84000000000000000000
000001DD40FE4C712C6181E30C0F44C703F0330D16407D576381E57B9220C79A4C343C270000FF58E1000000000000000000
000076984C109D11932194E8615C0E1592A0E5BA6830AD1052374004E07230308000FF06B000000000000000000000001438
445922CB5110AE1461624C381D00B6C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (56428000FF92AD000000000000000000000010B351AB61A16AFA882CC1C75E5AB3CAA0BAAC1FC800265B35
1AA08000FF7BB40000000000000000000000002A5CA741908A4919208249E213A43059E5101489090000FF72490000000000
00000000000018FB51AB0992AFA8877D44876449FB0AB09C86AFAE8065AFB51AA08000FFA26E000000000000000000000066
E19A860B185C172468E19838986681D9C0C93A4C3C9D9C4012C4E3750F130000FFAEC200000000000000000000000E59C797
208E49C847E44BC792447C58A0EC403181D8F20000FFA6DA000000000000000000000000651A2C8AC6C83BE83A988118C2B0
01C83AB35191E08000FF59B4000076C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (145B42C10089AEAAFC89045983A1F30839C611134A0000FF15DB0000000000000000000000072899747938
88E30F96265103D0724C271860B95BE46188EA4097201E0000FF1E15000000000000000000000000E4024062888A944D9120
312670908000FF9839000000000000000000000022158A68B24408857498EA91669459A51240271646488000FFBD9A000000
000000000000000002164D1B41E1A42E208F151B49C5126A36A19B1D8D0223442459428000FFBDB200000000000000000000
000347240CDD62009261C0E1B8188E23042F02138100330311810000FF6F5B0000000000000000000000065164161E523118
6497649444A28D85948A86002400F6C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF7CE80000000000000000000000122CB68F0E1D8590D4311C9A50B56F968F92249A1D4E4B340090D622
8000FF31CE0000000000000000000000043C595964D1B0A882E1879491464C4B03C565115C806289908000FFBC2300000000
000000000000001C9C307CE183E89B87CB104883E17942D4B87E174C1F25CB09CC81C90707D08000FF872700000000000000
0000000005942CBAC21425E59318F81AE64C34CAC383C82010908000FF7C07000000000000000000000000B299316C91410C
A918A434930A663400432C308000FF27FB000000000000000000000001653224010AC414E9C0D12878C2600399610000FFDA
96000000000000000000000000000EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7AB75592EA3768BAEF578C17335DADAAF534031A6ABC2EA354D000A4FF5F4500000000000000000000000E
7116545C39491A929145B34628E83866A1C26878AC40279E6107C68000FFF4940000000000000000000000055DD756D07B43
B55D132AE0BA86A392EADA262D255D5725D5754D33F0F90CC002EABA1EA3AAE28000FF14120000000000000000000000010F
165F987955E2E8327D30DD349B32C5354285BB88AD4101ADB5DAA28000FF9F27000000000000000000000000165C58746C2D
861946524D1113082E68C4380022828000FF62AF00000000000000000000000A5AF26C7764D5B578BC6103D17617948F1476
53A9D2B0555600658B5DD16680008EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9E0EA1A6F08000FF708B000000000000000000000002CA65E521D284348501E942A56918140219508000FF
510800000000000000000000002BC5D76165851074D0CD184122CD36B2AD0601C82AA175DEA28000FF05E900000000000000
0000000021A51B65A4D0A49397164E9251330828029E6108E28000FFCB0C000000000000000000000005944B36BB30C5030E
4DD26B5E2ECB49C5B2C2805243285000A4FF2B3400000000000000000000000869D0D8726CC38119493690A4610510E0634A
105000A4FF0FBD000000000000000000000000459791650A148B28509665768D9C4506600865045000A4FFCDA50000000000
000000000000578BAEE56AC3B5004EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8CAD0654A130D08000FF9CDB00000000000000000000000016512CDAEE14A118650AC59324D0CB61983285
05209139508000FFD476000000000000000000000002CA8DB28A60CA2986532683E186C4981E521402121000A4FF61FC0000
00000000000000000015E2EBB50C59714A09C2EBB54D04C341C3B5693D5152141495C0EBB5703000A4FF4EA0000000000000
00000000000B2BA2CA4A501770B0E2CC1DB138AC05032250B21000A4FFE92A0000000000000000000000578BAED934BBA528
2CF0BAED5C3C8B2ADABC3B2078141C95C2EBB5703000A4FF338200000000000000000000002AECBAB60BDBAD82E942AE0BCA
2BB272A1726CC387555C0A04A800CEC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000005942CA4A10CACB27419868A1A0C9B2683F4280432E2898000FFF7A7000000000000000000000005
A1DD22CAEB328121946B2318C3126C853934452650908000FF1DC0000000000000000000000011656CA4A089432A36E3C927
0996607CAD75A587C4030E89368000FFD423000000000000000000000008FA1652501432854407A27C90B0A0A5288A4556C2
8000FF6B22000000000000000000000000594283E081F08651A098688B625C29D916428C8522828000FFD64C000000000000
00000000001870ECE3161B250843B24D99C9F81D1B0AE10BC282884059428000FF70C4000000000000000000000000A2DA26
C3CA4A02845BC4524DAD3CA272002EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9AAA15EAC96E8C56AD06066132D55AA08000FF56EE0000000000000000000000085D6400091C3890746974
4E942012A40000FF2B9A0000000000000000000000015A2EAB50E919AEC2B49B22A2CB3745C268381F19A28B2AD06033AC35
5EB08000FFCB1E000000000000000000000000595164021ACC088881F08052E699790000FF67F10000000000000000000000
00B28960E4602D06F4E078894BCC59B0C0728929490000FF407400000000000000000000000444B0B2A8697912865445C447
82BE51302C82E2E4602186D08000FF2DA10000000000000000000000093656CAA65086564DC0D074517B4920BA1614021850
8000FFCDDF000000000000000000AEC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4100010000FFF4D30000000000000000000000044001641C2E33216491304200010000FF7EE90000000000
0000000000001904B072312014C4687823861F201CE2640000FFA73B000000000000000000000000428B20212431E4934383
E92405200000FF044C0040000000000000000000003B45C56165335D80AE8BAAD5A0F12164DEB6CA84D7AACD00A9B55AA680
00FF881E00000000000000000000000E40121B984470228E65101CB9A40000FF72F10000000000000000000000055D9716C1
787530AEAD855DD756D5764FDA71746D4FB45FC50724D571414FAABB0EADAAE28000FFEDE9000000000000000000000000AD
1755B341AA66AB0AD068589745006EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF020D000000000000000000000008B24590065164CA0D9451A71848D06010B20000FF6231000000000000
000000000084148424CF91619211E1A0E835274C2002102000FFB3E400000000000000000000001C91648B2044121FD95D06
749B9F49266586E8FA25D06018B20000FFAF740000000000000000000000002CB08B2832A1BB6C37A5A2E14D9396D9861B85
430ED9340059428000FF73C3000000000000000000000003945C86400AD07E483C4B48C992E23D4121F230000000FF339200
000000000000000000000051648B214BA159C6D09316C1861B45A30EE9140056428000FF187D000000000000000000000000
E4318050C9461E523A8384F10400EEC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000A6912C9A364D20E20507F18743C4D0EC5382FE93498507288DA34428000FFF3
96000000000000000000000000EB272BA384C1892CDD36EAD4DB572A3219D788B2C228252581908000FF83A8000000000000
0000000000090D87A7CC3CB8F20147B05A302D99370A3282E1CA140219508000FF3934000000000000000000000084908ED1
CA6472151B32E91E26AB6475367924C281039234508000FFCEEB000000000000000000000014B28AD46C1262CBCB89A44C59
79469290A0A7111E19508000FFD7EE00000000000000000000000594000000004A0000FFF37E000000000000000000000002
2CA00623962040400099402000001EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (41CBBA0E1669161BA4D011432A1000A4FFD2560000000000000000000000522B36A3E164998A2113959165
7745969B6D0459ED9340A71879A61000A4FF241C0000000000000000000000E4E5C3641D3BA445CA1969158DB296BA410CE9
0A0A40C32E308000FFA06C000000000000000000000002D942CA010B97D14C8B2D249C264A90A010908000FF514200000000
0000000000000001431654348061C6F13D0703CD18F78B308C985052C859428000FF8516000000000000000000000002CA86
504890B2C30A38B864D810CC0D288A00D1828000FF986B0000000000000000000000246030D2090C3CA93C3839229362790F
0A23A428005A39428000FF37DD009EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (68E525184C1A0C3BE44BA596694450459A42828000FFE28500000000000000000000000148A8D859411D24
65E5A6831C3A6C48B60A53A4D0093460FD308000FF9C870000000000000000000000022316543480CCE45A4890CB4F2CC22C
A64C0029508000FF356000000000000000000000000A5C726C148C533165C9AB960F465374E8DA26CC4EA43364D029C61530
8000FF8C35000000000000000000000004262CA54E9108E1C1F11DE316756C173289B1F2A36822CE2865A61000A4FF317200
000000000000000000003672BA2C26C87289B268FA86298587E1952140CA20C2261000A4FF95C30000000000000000000000
002CA54E90113849B29D87E687005EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4E060866B6835442605A39428000FFB0BB00000000000000000000000016534728622860B2A48A230E9040
FE22814B22828000FFEFF900000000000000000000000222CA16506683E61E44B063D6509C5A514A140059428000FFE11D00
0000000000000000000001918B29A3940B905E142A68344C1B2E43432E060D216834AC0348505000A4FF25BE000000000000
000000000001654459442212249991512CD17940CCC9800B285000A4FFC8C80000000000000000000000005942CA005A4A42
8A4A428148505000A4FFE1070000000000000000000000002CA0B47280169040B29282A4280387285000A4FF7C1800000000
00000000000000245956CA082400DEC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000004864A23E8C5B03D68E4385DA3C70361D5B88E8F4CD988C3BF4D006E88D2348668000FF0D55
0000000000000000000000008DB0DA16C7A3604543B49B30E1059EAE942CC394C3A61B44501AC32A228000FF578D00000000
00000000000000001650B280141F06105A4958D208A010828000FF380F00000000000000000000000C5C9C397918B968FD52
D1384171451AE0B80F85005CB2D1828000FF94F000000000000000000000000059400ACA11CB958D28E34851C99059428000
FFFBAA000000000000000000000004507CE1CA1882D208A045A48D24B118A002828000FF7392000000000000000000000002
CA88B2846431E3A468E9C67A83003EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (88B299628000FF4A0800000000000000000000003918607A4E69C960E461A168598743BB4191F8AA85C2E3
38964A20C707C4C303C88000FF9D92000000000000000000000002830AD2184E31811A4C9C8F3F0CC089ECAE95DD1C463640
0AC1C0C94E608000FF54BC0000000000000000000000008B3CA2C8087520E03EC354D380F0590A0E61C616488000FF88BB00
000000000000000000000B28ACE060120124D38694490C6CF2882009508000FF112F000000000000000000000002459509A2
072C88E430A1A6234513738803A9450000FF6FB500000000000000000000001482158B280CB2E30C504D1838EB09B986C280
6619428000FF3CF6000000000000BEC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (112AFB5189C8C2F12EA354100158351AA08000FFDDDE000000000000000000000001AB86EA1C30BE2066F8
E3A992C0C5750E4FE79C792AE012C4E2750F370000FF598000000000000000000000003962004F2C40BE3CB10AE19B030063
CC38F20000FF29C000000000000000000000000EFB1192840D5911EC415D46649024AA0818EAA8F50000FF1FCE0000000000
000000000000000BACC0EEB20446D1FB03B464C868CB07C32A26CB214049323B66C8288000FF5E1900000000000000000000
000109930D1617A46040E49872192A3CB0D8192C0C1009024A270000FF8DAE0000000000000000000000193942936033C78B
64E2665A7A50B41C3B48D28500007EC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (965120F48018A1840000FF08EB00000000000000000000007493C2D07459049621D9196E86649EA2164403
1116488000FF23F00000000000000000000000005950D209508A6158A20E298516D91400A459428000FF318F000000000000
000000000001240920010BE18CC924125CF2892020124C8615810000FFC29E00000000000000000000000651D42CA09428B6
A0C865C59316D13140045C56428000FFA5460000000000000000000000077DC9D5B2EAB015050730C57DA8ED248AEA754103
15351AA08000FF9EC900000000000000000000001C897883119A0C09E2219BA8BD2E8009A40000FFF9790000000000000000
0000000B3F62357DA8C057D88D00FEC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFDC7D000000000000000000000000881E70E5E4622FF2E182E8791978B85357F574D0748C144C94F284
1F26132000FF5907000000000000000000000014A964E5022533623E187145D18C0F2EF184071010908000FF7D7A00000000
00000000000000434850ED90942D249C20910CD94AC0C00432A2708000FF002800000000000000000000005B2D2C92C8009C
C38D2A451D945D62A409690000FF0407000000000000000000000030D8726E90400114DCC2CF219891D200214A0000FFEF41
000000000000000000000000D40F620C66F1860784FA84E71C0F08F03D2A1F24214F2C80F50E152000FFA0BA000000000000
00000000000012601CC20B22380001C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8000FF17B1000000000000000000000000A392E25C3C99360975724C1755E1A61A5CD7771756C8BA86AB81
CD2ABB0EA3AAE28000FF3E6700000000000000000000002BC5D76F2E504B45F178DA3695F63BD6185DC950414F3A8B5D8A66
8000FFEED800000000000000000000000CA2C922C360942EA60C212D4FB0D20033CAD0228000FF4976000000000000000000
000001DF62356C516C12D176E24B8A56830C7818E686435410065DB5DC228000FFA1FE000000000000000000000002985134
59316C1292C3A67CB6609124D1354E9287C8024181C51AE28000FFA51C000000000000000000000000B284EC393609791650
CC500FC4A6C49A8602913090800081C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B94880047DB5DEA28000FF05590000000000000000000000328A1748A8D82584534D1C0E929C19E30CB480
148D08E28000FFC8F4000000000000000000000002CA49B1D82512F1386F1D5964178581E6693A2000BB248B285000A4FF7D
D00000000000000000000000045952D8F94128544F95417D60F14D150E905C800CE904505000A4FFD9C70000000000000000
00000000526C2CA094121C858C24D8D94880720B285000A4FF4081000000000000000000000015A2F2B84FA33EC12AB45867
55AAF0985A62B72EBB39753AA0831A55E2EA3AACD000A4FFB05800000000000000000000000141F30E8D825251E7148C1061
47F96CC9B53082004EE59307C20041C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2F0C33E52928505348505000A4FFB5D1000000000000000000000000EE1719A36CA0CD47AE072A8749C786
9D59AEC54A141C9536BB54D000A4FF5DCF000000000000000000000000B2808B2F2EAC3D219A930D11A860502924505000A4
FFB60100000000000000000000000EE1719B269760CD4665B2E1DB2282649357DAEC2B32140C9536BB54D000A4FF323D0000
00000000000000000000A382EA196E2AB600BA862B8A2D88EC9CA43D289A138417521400BCA89B1EA1A6E28000FF3B020000
000000000000000000066144D43B61B0391493E45528CC940CA1400939488000FFFEBB0000000000000000000000167C5C76
8B3F28335D8546D902E45B26D000C1C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000002174AD0EC00B28170AD1471B254C3482FAB2603264F0344C504000FFAD3F00000000000000
000000000648694459419A0C2876959D84EC346C0D38A90A5388858717C28000FF9DE20000000000000000000000149D44E9
0B28061D5B8513136CA4942E140422828000FFD96800000000000000000000000085C2708B280161B10FA186788772A92904
529C4059428000FF754C00000000000000000000000041E90B28259A0D0CA25A20B9345D20452B410883498711C28000FF05
6F00000000000000000000000530D249B1D8044E1B84930568BA2788298348228392D9428000FFB11D000000000000000000
00001092748A8D818C26D8AFCD0021C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (45D568B203581C6B26D130F6D96E45302E568300A992755EB08000FF42B50000000000000000000000010D
34A10029042429C407245D99790000FFE14F00000000000000000000000059490623031A70324109608CE466060196294900
00FFA3980000000000000000000000043485979005971850A28D934E30B289EB680C50B2EC838000FFF57C00000000000000
0000000010BA428760059493C5CCA22D82E844F5249A00C3908000FFF208000000000000000000000000869327280165661C
44B876F4BB0AC126C2800A1DAE708000FFC6B50000000000000000000000050E1A42CA0588150B7703332CACA573293CB820
E122908000FF7E16000000000000A1C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0008424188C0528B902230100194208000FFFA40000000000000000000000000A5080E71C7D0A6084430C1
029044F0530000FF78E600080000000000000000000000AE8BAAD167E5015350BD5872285D56665332AA680451AAC28000FF
DA3D00000000000000000000000286C821884726102400005C310000FF8FC7000000000000000000000000D763D5B2DE154C
092AE28768BC224F1756CA548AEAB8A0A4CF0EADA0E28000FF5A9F000000000000000000000002B45D56CD06A81AAACBB478
33D68B33A8AAB418050755EB418000FFD5C90000000000000000000000000089824A2624919C8CA4D0839286C8530000FFA0
C500000000000000000000002B0061C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (280C9236508000FF77BD000000000000000000000000869090E613204D24C8ECD06C80000000FF5E030000
000000000000000000045902544C37208A487B50B0D6B198768B688022C8288000FF3F3F0000000000000000000000086501
08CC86919921D8F36D0BE43324D0ED080C9A39428000FFD5360000000000000000000000C7911D89202415805635C46EFB43
EA2610000000FFBD400000000000000000000000004594124028186226C5689319826CA1400503C28000FF10D00000000000
000000000000000A2300091C82C9924110000000FF9B39000000000000000000000002401C8CC463283E288244E201900000
FFD6CF0000000000000000000000E1C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (59428000FF303C0000000000000000000000021A49005041F94228B607990A1DF2281944D1828000FF7054
00000000000000000000003A8CA666385088E284B3D6503BC74F82D50936140211308000FF62040000000000000000000000
50C5954805524BB09D204D46C97328650A0A70318E19508000FF198E000000000000000000000009710050EA2061345B84CA
14ED81800A1E508000FFD39B000000000000000000000002195CE2028720586C42D0CA00432E308000FF6D17000000000000
000000000000000000004A0000FF3E86000000000000000000000000084009A41188004A0000FF64BE000000000000000000
000000880220328D06CB2940EC0011C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (75E1D4C85950814854228000FFD1450000000000000000000000430CAE407431A906C46A69445942800B2C
228000FFF4B4000000000000000000000000186570C08065240FBC643D289E2C9B103E994566C2800B99BE428000FF613D00
00000000000000000000634A360010F20B0A26A42CA8400507C28000FFA418000000000000000000000010CA02202924D846
46CA840A42828000FF5218000000000000000000000021A424648C8715898890EDA307E9A61A7A394281C859428000FF059B
000000000000000000000021A4C491388A1131386C8492E1936140E4D1828000FFF8DF0000000000000000000000010D2005
0987102A3F4D2A18962A3614000091C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000280032521590A0A00A42828000FFFD4E000000000000000000000042000C949C36428918
0040B9A307C28000FF695F0000000000000000000000014149C8483FCA9C593D42A86498A00A42828000FF81C90000000000
000000000000061D8842A18925A4C8DA3D3B261C4B460800A56519428000FFA5BC00000000000000000000000B2800320968
1705109C51ED08189C39428000FF87B10000000000000000000001CE2C9A364810E48912469A791643C79422CA840A428280
00FFE037000000000000000000000000E650A5090E39107A8FC341FD48F478B0DA10096459428000FF1B1D00000000000000
0000000086194901080C2EA4C90051C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (523984C4E19B614480021A3E428000FF08870000000000000000000000002800721270E50A00E40507C280
00FF6FA0000000000000000000000000A000997102B248A0400442828000FF1B270000000000000000000000050483341B20
8764910ACE2E193A914410210459428000FFD85100000000000000000000000140025C4299A326C2F248A00A42828000FF64
300000000000000000000000042C90090916E512FD84DDDF161414014859428000FF57E00000000000000000000000197C79
00A7CA225C0E5DA1714C2C3670F1645851040A42828000FFA9880000000000000000000000005048522564498D12C2A11401
2859428000FFCDD9000000000000D1C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D076541FA0128824810000FF93730000000000000000000000000042C1952220B8113AE200861F508000FF
A9FC00000000000000000000001880019606006951C0392256C08000FFCB450000000000000000000000008CA002952E64B0
4124384804A9710000FF6AF6000000000000000000000021E1C0019E45A7D90B442208018E19508000FF509F000000000000
000000000003943D13001580AC2BA4942D99B703A2F0FACD0615804A3A1A4608308000FF562A000000000000000000000002
80032E5914CD95092A1888203309C32F308000FFBB5C000000000000000000000042000078C85210006491908000FF182800
000000000000000000000C3B900031C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CA869B82EA1A860066C5C2750F130000FF657E00000000000000000000000096081C83909E0AE09E8838F3
481882C40000FFCF190000000000000000000000011F510290292BED0609AB351EA0720EAA8CEF0000FFA971000000000000
00000000000D3E205560C82B02D18B6B610A0200CC0B46C8228000FFBF150000000000000000000000390AC901038013B224
09F111C88C025989C2B0208000FF3C9A00000000000000000000001165C0073288E22CAC8A8B30C460012C59428000FFB0F3
000000000000000000000003922021025B2CC96A0CCB0AC8C0F03BA0023F3098697E288000FF54A800000000000000000000
0007B08088C01E48974619A90900B1C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1A15000000000000000000000000F402202BE2221592884380C71116488000FF45A1000000000000000000
00000013600512C404CBCA15124503109E4586C28000FF90BB000000000000000000000001070081C1204270248224912040
0CC0AC0000FF943A0000000000000000000000015A500881919352C163C14A580056428000FFFDDF00000000000000000000
000335180A8C472A8283861ABED468382002A8351AA08000FFDD8800000000000000000000001990C02200086408C8203164
0000FFAB7C000000000000000000000001166A30150402A09215F6A324064AC2751AA08000FF95B300000000000000000000
0050C1748C089C024155C3751D0071C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5002824193942B91400E4513818000FF429400000000000000000000001CB19404385238F2882839C34FD1
F3C87C5C309C089C8507D08000FFDC96000000000000000000000004AA42267C1E4D4850B9711410A4A34800B492708000FF
89380000000000000000000000021B010C8A0C842E1B0A0B81A660400ACC308000FF818F00000000000000000000000000F0
A441C028C3CC38988101E009590000FF825A000000000000000000000072880844B114C1048CC94248100C40CA0000FF2AD1
00000000000000000000000E42381C9131E4E52189E839A6838C341C53048C97201E0000FF45E40000000000000000000000
2900062E5900031210508000FF00F1C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000A83280440AE1634ABC9876AF4654500C4170B51AA68000FFF0E8000000000000000000
00000C4194022621228EC9C304ED1806204507C68000FFAE220000000000000000000000072ABE328044A643054AAF0CAAB8
A54CD005263B2EA3AAE28000FFDAA600000000000000000000000355200519D0C2B85A36478AA45E401AE275DAA28000FF93
A4000000000000000000000000520050086DB0A0A00530828000FF15EC000000000000000000000000A400A3204CAB81DB66
59A42C5003265C355EA28000FF9C8F000000000000000000000084C9A400A730892116C914E8A004F38A2748228000FFF5BD
00000000000000000000000A3A0009C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4A42CAE0665AA6D2A8328500AFB59AA08000FF87440000000000000000000000000BC202AE889449D28582
EA5AAE2D2ABA50A0661355C0F50D370000FF538F000000000000000000000000011129104C9B2169250A005C520000FF5559
00000000000000000000000C9B602B418393BC5D56A9A54D0003A8B5DEA28000FF6F0A00000000000000000000001CCA0110
530985642828029E4508E28000FF542C000000000000000000000005B00880A45642828052C6D9428000FF48670000000000
000000000000128044225268F50AE5C3020017C450228000FF876B0000000000000000000000004A011121892D86C2828012
45D939428000FF507F000000000089C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0590A021520000FF5CCE00000000000000000000000088C00A2542E528544E1321431143520000FFB3FF00
00000000000000000000000E4280ED0F2E8D1B0A4990F8B2140909520000FFF0E6000000000000000000000000000EA05B42
0B907958182E4A140A69520000FF12F200000000000000000000000000A0C4A85924E5DA194942829654D20000FF80160000
0000000000000000000000444A24E130593950CA2650A052520000FF955E000000000000000000000000CD46015E8894895E
1E557039550650A002A8359AA08000FFFADA000000000000000000000000111291A71C0D90B4928502900000FFEA9D000000
0000000000000000066A3015140049C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CD560000FFCC4800000000000000000000000000506791270CA0B910C80C82520000FFF19C000000000000
00000000000000024F489058D20921F2004A0000FFBDE6000000000000000000000000E42A24A5250712386C14D285A2022A
610000FFB37200000000000000000000000A40422E28832D3090CA1266946100520000FF4986000000000000000000000000
011144A843370A24E21CF91401482E50C000FFFF170000000000000000000000000032689294984125E5112A5914052A5100
00FFF41200000000000000000000000190014984195944861B461A54B30F270810A9A4174000FFA3D7000000000000000000
000011180144A840A0E4DA1A4100C9C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000002093D226472447E4000640000FF0ED200000000000000000000000008AC127224
C317388064100000FF622D004000000000000000000000007249A20975DB30F20DBAEB69366755683005560000FF655D0000
00000000000000000000001006290E9A9C6205200000FFE85500000000000000000000000002231405D5B50D1702EA9A36A9
B2EADA956D57140C80BAB60000FF6F3B000000000000000000000000015A0C66AB2BF5050BA2EABA1D119AAC93A0C1C8CD56
0000FF57800000000000000000000000000110400F8491E32181E40407200000FFA85A0000000000000000000000000E55A0
C66BB18997559260CCCEAEA2000029C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A88A1469D51A4E9A40000000FFCD4700000000000000000000000E4CC460C69C72330C2A3C4121A5080000
00FF3DB3000000000000000000000000640DA44242B22C866884DB224CC343D96488000000FF1CF900000000000000000000
000094143708A06E2DA46C387683A1F0AA68BAB6A9BA72859428000000FFC4BD0000000000000000000000000CC46032CBBA
8C6A324118D31F20000000FFCA0300000000000000000000000065CCB0B860971D9862CB09B0AA6E5E76A9B561D8507C2800
0000FFE525000000000000000000000000009022302EC80001900000FF37AE0000000000000000000000000620844708444C
17438F20000000FF572B00000000A9C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A144C1761C53240BF308000000FF370B000000000000000000000000324A845263D13326E5270CD961E9A0
D4A105200000FF218A00000000000000000000000CA8E298B6158191B50C5A68341F168D0734F168E1E507C508000000FF1A
B20000000000000000000000019250A250B482F61869786434A101900000FF43F1000000000000000000000000324A879634
9A7A48B9818B7CB0E434A105200000FFA083000000000000000000000001925422932689A26283EB48A861A508000000FF00
24000000000000000000000000000000000000FF6A2D00000000000000000000000A40620848404F20000000FF4CBB000000
00000000000000000C819490430069C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF9E660000000000000000000000029253C621705A3A4290BE1C1F05A394D9818409E6100000FFE79500
0000000000000000000032A494285A693A6E989E2BB28B870D8C6C9C3708B4B03028B00000FFFF3000000000000000000000
00014A9254263485A59010CE90B8D8229818000000FF68CD00000000000000000000002925C61148228664A66926DA49352E
946102500000FF969400000000000000000000000095950E996C585081F85A3A60749F146D0F16E96BD13D50B13F08000000
FFAD1D000000000000000000000019E50DA104D92E992F4B870A126DE18678E8E48308000000FFD381000000000000000000
0000191329308A4D59A607C24300E9C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000B29C3949428E18A18282164E8000000FFDDE0000000000000000000000002CA158A2D92986E
182811698593103D30B38A20E6900000FFA529000000000000000000000000C9C3616534F917CD18C3AD361E1386A1866487
B9B285D46482400000FFDFB40000000000000000000000025632851285A5916433472A46C9D285A16CEA28000000FF9A6C00
0000000000000000000000CC3615A50A069942D3CB274926C9761613190A18A1300000FFDE73000000000000000000000004
A0B6A9B0F8BA942C2661E293822D249B260BA4E91B3238A48C400000FFB6CE00000000000000000000000949429CCA6CC53C
5C30519D272C93A0E9642800000019C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFEA300000000000000000000000001593472928505E42F270DA1920A12100900000FF9E4E000000000000
000000000002B2165C52B228C38E18972D081EC5231907200000FF8573000000000000000000000001C3A4E1CA4A64C68F98
8E1A4E1C66499645A418408643300000FFCD490000000000000000000000006D208BCD85686CD591149428E2286E84C3343C
A102000000FF8B1500000000000000000000001C8B4859714ACB2685A390CE1C264C33624D85A548000000FF96AD00000000
0000000000000005A42C374F328505050544C08A6840000000FFA01D000000000000000000000000B2859494282908282164
88000000FF32970000000000000099C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5B00000000000000000000000E4082231848661C04C31AA623469E42B0000000FF0C7A0000000000000000
000000022E216573292628CBA23C0C0B0ECA0F642B6AD1306103200000FFD59000000000000000000000001D3BA0B669DC20
7F914918A919AE918249B46C49562FB6D3761005600000FF374C00000000000000000000001C303C936509B45C3442818423
B2781C3EFB41B474D308000000FF380F000000000000000000000001590B2929C305210509030F20000000FF0C2900000000
00000000000000002B2165250A30E085E4E1E060E28585A508000000FF9B6F0000000000000000000000002B21652529D305
6228284E32158A0B80641000000059C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000001A364116505D282C3C268C89B4648FA316CA5DA0E5E4501200000FFC2C80000000000000000000000
1212C4124889C987D09C0CEC3044E201200000FF34790000000000000000000000002CA16525458F467D9A2144B264D82CA2
6AB5728228000000FF704E00000000000000000000000CF33C930D9A0E0703C2F45110EC4C311F58C1E30D0B468306200000
FF93C10000000000000000000000003374630ACA71CA0E24C4894470984D3099249B0E01200000FFEB020000000000000000
00000011C2C8CA338D06439288C301E32E9358512101900000FFAC7100000000000000000000000399409072308A70C0F8F2
C8CE2A8D0E20C4E0000000FF1C00D9C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (252204652544C48FB3D6C2C86999204500900000FF0F03000000000000000000000000CD8666A3564319A8
D5F6A318E38ABEA223D3A3AA08000000FF07F10000000000000000000000088C0638804E21380D20C0E0000000FFC86C0000
00000000000000000019A1CCD46A823351AB25D461583CEA85583DDA792A08000000FFF80700000000000000000000000019
C2082EA1A6E034D06AB86EA19AE4A0E89C2E8AAE50C9A54355C025100000FFDC72000000000000000000000000C4C472C791
0E38F34C0EC7987100C4900000FF78670000000000000000000000019A8CCD46880ACAFB51805946AB84C85B341D16011000
00FF98940000000000000000000039C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF745A0000000000000000000000048CC452092021654181A0D4381C4F20C80000FF65C4000000000000
000000000025E4528C309262A18E9134D9390730800000FF411600000000000000000000001CB109C8732F963CE26188E4C8
7C9981D4330C37E4C381D8F34B108F200000FF3B410000000000000000000000004A900009210108000000FFE88500000000
000000000000000009643A25245112F133885711E28B219EA0B91101200000FF16430000000000000000000000018A55A110
B2F20ED121145344500A2838400000FF6DAB000000000000000000000009241242409204904E493E809281D97401200000FF
2CA0000000000000000000000000B9C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (100000FF5FBC000000000000000000000000AC28E414652501690BCB149C08000000FFFD3C000000000000
0000000000562FF8D5E2EA33351AB41CAA82CA22A68A6140BC1E899708000000FFE2170000000000000000000000000A0465
19A49193D4CE1BD2503C2026100000FF96F0000000000000000000000000046929C41842CA65DD50F92710000000FFBF6800
0000000000000000000072C7945C3E51C79A4CB85C2582E2793860F9C3E425F2C0C9C404E00000FF8E190000000000000000
0000000622911915D3320AF138B46C516103C0F254341CE4C5480000FF434800000000000000000000001C8A24C45A7104BC
4A1F17281E2834943A610620000079C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (900000FFD4BB0000000000000000000000049EA2839949418764281288000000FFBA2E0000000000000000
000000019850252512299A364E1C20BD81D06026900000FF881A00000000000000000000000128502525061F130E0508A600
900000FF425300000000000000000000000AFB4DABD5D4666A35419550655643A55E2D13964AA0930C20C6900000FFFE0B00
0000000000000000000004A70C094941725132609AB4643001200000FFF56B000000000000000000000055C3746D5765D430
2EA1AAE955732AB83355D9750D6E46AB82E0CE1003300000FF04ED000000000000000000000015F59578BA8CCD46AD072AA0
CAE8AF86898590D112CA7D410100F9C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5911B290450EC2CA16976102900000FF354800000000000000000000000337DAA62D24A4DDB55987942BC5
D36A9B285A4530000000FFAD7B0000000000000000000000029C45A4940D252521650A432A8302900000FFE4970000000000
000000000000015D263692577DAECCAA615E2EBB54D2195418000000FF8CD50000000000000000000000053D6C8F1692554C
174ECCBA8652ABB2E9DAAE2D286554D102900000FF8CA2000000000000000000000001285A494942CA02CA169432A2880000
00FF5FC30000000000000000000000033292282AC936649B0AF17AAA686A88000000FFD79B0000000000000000000000004B
634390B6E45B290A25850E51020005C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4951B43320E4E2122CAC8B4990CD10000000FF5DE70000000000000000000000121B87293487E16988D07A
71E790A8DA8EC990E2625C3080640000FFE2D90000000000000000000000024165132919508A6E461E50B4A1A508000000FF
ACCA00000000000000000000000E41C590B280F91950E2CF20B285730D20000000FF229C000000000000000000000044C433
42CE1CA4A441F46197D207E3E5045A581D20000000FF262B000000000000000000000044120B9E3A58CA1794509E205CC9CA
169434A102900000FF0D8200000000000000000000000096916925C4D03CCB8AE02CA08B285A40F0000000FF469700000000
0000000000000001298E2D24A10085C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (20800598CC3308000000FF19BB000000000000000000000002DB2AD174599AAECF25C105D1642B45D76AD0
69190B24C8F0000000FF8E2000000000000000000000000096C00B0A270D2008A87C8270000000FF0F270000000000000000
0000000C510918C26488E079860017320920000000FFDC62000000000000000000000000C588B2A907C2D28613240E2C9CB0
B0F480F2CD238510E4640000FF884F000000000000000000000000422CA6185B32629C30D288244F8716D914330349073900
0000FF7BA600000000000000000000000CA22CA8A5845A4C38714416BC5E7623B271981A417C0C1010100000FF4D0F000000
00000000000000000188A708590045C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000C4E4721CA9083C211D90000000FFB8D0000000000000000000000002403A0C8E2381E618049E45
0F1190000000FFAB470000000000000000000000000126444C90C8520F210E01900000FF92E8000000000000000000000001
9ABEABE2EAB335FC27A93661C5B0AF975DAA6A37ADB5DA4628000000FFF69B0000000000000000000000011C0022988CE4E4
C93080000000FF998B0000000000000000000000015D1B55D9756C2BAB623B26AFA86A5A2673ABB2EAFAAE2CAABB2EAD9268
000000FF338100000000000000000000000AAB45D5666ABA2D132DD4750AD1755AB418215FB5599688000000FFF27D000000
0000000000000000009240440B00C5C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF8F200000000000000000000000008591913D1646419D59D59F790228000000FF3D3F000000000000
0000000000006419817066D42B211A6A42710848000000FF25130000000000000000000000004389615AD0AE83640F2170FD
06E687BAE123D6B5BC190C88000000FF56A8000000000000000000000000B2A4394CBA36226A9B27090EC3A2623B4728522F
08000000FF099800000000000000000000000033038248C911AD13954564381CE410000000FF41BB00000000000000000000
00000ACF207DAD16237A9B270F2D1D2613B86078543818000000FF265A000000000000000000000000010007A24F20800190
0000FF97E800000000000000000025C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1B0CE1A58D20DAA1ED64114330AF23C510000000FFA64200000000000000000000000050BA49416AE9BA79
44E07158DACA2E2CA41F9A5101100000FFB2BD00000000000000000000000323388D209C5B059707E13047395898715136DD
3386904E88000000FFCA8B00000000000000000000000032599264A4B26198AA50991A1FC8B2816288000000FFAE87000000
00000000000000002901126C41F30E89B2A5E9859070B649368C5B48E05103500000FF0FCF00000000000000000000000295
24B88A29F20CF91588AC85945288000000FF0024000000000000000000000000000000000000FF691F000000000000000000
00000052091D2269390240480000A5C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (909D1021300000FFC7C500000000000000000000000008E165253472924C36EA906D24E1151334624F0300
100000FF652D000000000000000000000000E4970E5252450EC95944D13350795161C931CDA32102900000FF01A900000000
00000000000000014F391B87292922A763D3150E90B28D6308000000FFFB99000000000000000000000000050EC46C2CA0C9
D3C8ACAD16C53871C0D102900000FF361E0000000000000000000000622850CE511C5F646CDB1A43344132644C544C8BC0E0
000000FFD363000000000000000000000001C90D288A7289789C374833248709E8386830B0DA381808000000FF82AB000000
000000000000000003121A61A50065C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0094114521652872923A48CA16942C8201200000FF852C000000000000000000000025347CC24D38B0E2D8
59489D34A22D9C30CD948F14408A900000FFFFF700000000000000000000000651B0A84C1969165E45CEC5748C2F8683C7CD
5D2E61A6608027D00000FF182E0000000000000000000000003968E508F2822876C656683E7115185A3A5D0920000000FF6B
5D00000000000000000000000C9870E07281942C3612A1913659302C3D3CC3CE2602900000FF8AD100000000000000000000
000E4D1CA8988F28662CBC84E8D93A8A6938B21A2C98AE99A1E44088100000FF1D5800000000000000000000001921958CA0
B864D93C8CD248B819C305D98700E5C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000094284D261E5420FF228186505A3A508014300000FFEE62000000000000000000000004A88A255B294B
26D0826D6D38A164380814300000FF621B0000000000000000000000052444B0B2F285A7D365262387C292648E5251025000
00FFF43C0000000000000000000000008ED689251B46CD961F8693FF8BE718653471D9B39508000000FF8E75000000000000
0000000000014D1458A5C4546CE592E44E53469D99717E114170A082500000FF6F6600000000000000000000000E65327892
F2C9CA61E986114DACB08B28544D08000000FF05850000000000000000000000250A290B285950D24650B4A16402900000FF
2C9B00000000000000000000000015C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (64C101100000FF1360000000000000000000000000651B0A0145A386C3316921C5646CD2B4C364142C8800
0000FFAB82000000000000000000000000CC7725B4F0E311D062B8A4DE862D070BB46889693BA0B5E8D1E489717A20251000
00FF9B6000000000000000000000002985104A2C9C7F4A262D9862E30E17298E2F2481FAA288000000FFF7E8000000000000
0000000000003E142408B2A1950894E1831B20000000FF1B0C00000000000000000000001285C445D43151BE50705D917118
EB396E1169C0E11100400000FF0FA200000000000000000000007208C60FA109A6164E5434A10C394E192E4C22511C800000
FFB4D8000000000000000000000095C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3C901E159860CF4392CC9C00CC100000FFEB59000000000000000000000002BB770F96184C9B12C58ECCBE
4E5F4502693664CDB6593C6D08000000FF32B6000000000000000000000002903C61B248C625A28B221D2F76C305D32C0F84
CC381D27C40F34D106200000FF2C4C00000000000000000000001486721A4689348A7919261A29D9CF09290C3385126184E1
0201200000FF29D5000000000000000000000018C864390FE8D1FA28560793092438870592348AF428000000FF7D3F000000
00000000000000000720AE994485383D0F20CB81921670981E7924C6B0000000FF535C000000000000000000000002508A38
2071E71258887640930B8639490055C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (65E250C0E5900000FFD0D5000000000000000000000000254B90E89728B265966107910E0100100000FF58
6A000000000000000000000001AB35199A8D5F6A301E4BD2A0E355FB51EA0803200000FFE8B8000000000000000000000018
386EA183750E1305E1045311CC7241B1C2725572718AC96A6006600000FFA9180000000000000000000000063E71C7963CB1
E4E553C79044F31E402E06200000FF8FBC000000000000000000000003166833351F2008205613851040000000FF568E0000
000000000000000000258CDA364126591D9394168D1B45D04C369D905A394168D984E101200000FF19170000000000000000
00000000A1C9C46264E460AF1100D5C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000009C60F22378C303C1752F918607A46898EA198607B261F3CB9607F228000000FF
D20900000000000000000000000522B10D022D0E1148B9A61006200000FF7D7F000000000000000000000001922C88764498
8EA29D8625142D49A38926159106200000FF7DB7000000000000000000000000CE984CC3CB08B28662E2238B0DC2282CB48B
2A126D08000000FF9B92000000000000000000000000251393C89024810AF188C33E415922523920CC00CFD00000FF4A8600
0000000000000000000000948B21590A228DA2E28C3EA16542CA3818000000FFD81A00000000000000000000000011ECD466
6A357DA8D8E0632A830D57ED460035C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C2D216230A04507E168C345B387C81E8A274A200CC100000FFB5AE00000000000000000000002132CCDA4C
9A214B471B27870A6C3A3665D33C79A790000000FFFFA70000000000000000000000063C8505DE181E50C4765C307D114270
5DC707F970C175A75B9172000000FF50FC000000000000000000000014B22D235CF3118C70CA19B27AB36AF709B4B524C8E3
2821900000FFDBD5000000000000000000000002964514C2B34C2432926C2A1DB2E22927AA34897418000000FF7103000000
00000000000000000005202F4B9606619124D1186624000000FF1730000000000000000000000032C883CA8819B126072266
23DC0D431860000000FFA4F30000B5C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7672F5568BA8D5E2C9F57C3942A36AF964FEA859E6CC20C6500000FF2B550000000000000000000000029C
459428144445B3460A499AF56F0F1437845004E00000FFCDAA000000000000000000000002EA183CBAB665D4B55C9750D576
1C5B55D93A4C772C5355D93D4D3724F134BD1021300000FFFF0D00000000000000000000000AEE0FB6B30B69ABED4643EB0D
E3C38B3B43B54DA49669364105200000FFB32400000000000000000000000018E512C5A4D1D28796A4D8532D1C3616923491
05200000FF5D9E00000000000000000000000532E076D6664E9578BA8C53E2D3B46C510EC7CAA9A4590CCE30000000FF8860
000000000000000000000019560075C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A1E268D9A602900000FF511E000000000000000000000004B30E8AD96D2316584594290D21696703979000
0000FFCA030000000000000000000000001E49A385D16AF174A26BAD76A9A578B955369640F36C08000000FF3EE800000000
000000000000000109D2289661D3311C23285A42C76151021DE202900000FF7F7E0000000000000000000000019922CA1656
451341CA14151B5B29BA86D130000000FFF9EA000000000000000000000000A7116530E2F851194D5D207B1E8D9C3E794374
182026900000FFF81E00000000000000000000000053E8B2851108716C28C3145B30E2DE30D24C20A4100000FF761D000000
0000000000000000033518BC5D00F5C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0AC68C1FE45A5150C270B4A10322900000FFC20A00000000000000000000000004898648E3B24D108E2CA8
41653261DA262910000000FF0F36000000000000000000000001489621C42D1F24D95131650B4A103C2A3788ACE202900000
FFCDA10000000000000000000000017B55B2F15703942CBCDB5DAA6D2AE175DABC9CB6A1F175589608000000FFCB5D000000
000000000000000002B0AE830595418531650B285A4C29274C348102900000FF20EE000000000000000000000019568B2A6A
3607A328676D76A9B4ABC5D66AF0E8990BACC930000000FF58FE000000000000000000000002942D175D4355D8F36D2B1483
B2EADAAE2D2ABA2619AAEC78A6000DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000CC3092138B481E0C2615A329376981D2148B285881C80000FF5E9D00000000000000000000000109
92860852CF9D69448726C2C2318A49A962E22B84B440A9000000FFE04100000000000000000000000042CD71616072A114D6
CB8A30D2C9D303B2F4D38199629D2480040000FFCBAA000000000000000000000000CEBCB46E50BC6E336BE911D1307F9448
9B461998744D0B20000000FF39BA000000000000000000000002242C29C9CBCA8749385C3688B085E2A264B2759B3B5FA000
0000FF0DEC00000000000000000000001485631B8609A394171E92863DA228692F0DB4E285C960000000FF3DA70000000000
000000000000018AE710727C53008DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A857AB215EB558341A23A1CAD26759D405200000FF9475000000000000000000000003909E10205E44480F
02B06330548480A4100000FF30FE000000000000000000000000E5923C4BAAD1F6CBE70342E1D6AB56C8752855A1D1A6112C
07200000FFD39900000000000000000000001914C946244D171073207B341B9624CC01900000FFEFE9000000000000000000
000073092082B63AB311926205231267125E904002E00000FFCFAF0000000000000000000000002174A470A154E90A646576
1454A934142C148F10000000FFC7B70000000000000000000000023A8E4930D224E1354C9326C85853A0E15A52CB20B80000
00FF4ABC000000000000000000004DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000904E40202319230ADD1341800000000FFFBDA0000000000000000000000018C90223E23222687D722
963080000000FF26BB00000000000000000000000004025C46481B8CD30B96248002E00000FF8D6D00000000000000000000
0000CC9013D0B1296218F3C920000000FF9A1500100000000000000000000001AEAB46DAAD5E2EAB445975B2EBB451226C7F
55F164DEB69360000000FF1C24000000000000000000000000A7963CF243CE288C0981D388250F9E40000000FF8BDE000000
00000000000000000005D5B44DF756D5765D5B85D38CD33E5D5B50D934289A36ABB2EADAAEEBA260000000FF09F400000000
000000000000000AABAD56A91F00CDC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E4A41048E414A1A508000000FFC0CB00000000000000000000000346159264925965103C29048F45904930
3C88000000FF0666000000000000000000000000AF4A9A4858242C57741921C893480201900000FFFBB80000000000000000
0000000CCDFC3D96858BAC1F29D6D78DB42E545E3A7592D121C4B54F542C88000000FFCDBD00000000000000000000000E41
DB03959165645A6165E5C3D8F2A62EB6165C0D439428000000FF1C6800000000000000000000001C9890E09244B9C4A3D160
BA4FA085621C10000000FF263800000000000000000000001CA505C3969159045970325E5E5C71A6E187615985C364880000
00FFB462000000000000000000002DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7468342DA384F5E5E54587C643449343C528356C28000000FF544F00000000000000000000000668DC8791
E764EA41C546DD343F6450A68D26C8A45D9468000000FF5046000000000000000000000004A1B2872D28920C2CB36B3619C3
092A3696B459232180100000FFCD5500000000000000000000000A51B11E146C89634AD90B2C2708223664CA1A2CF2880000
00FF4DC3000000000000000000000002427950CB8D3CB0342D13153B420C66A3D348AA528228000000FF057F000000000000
0000000000012B916CC56B213B27293082D288A250A087200000FF0024000000000000000000000000000000000000FF001A
0000000000000000000000000200ADC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0CA140A172822CA169272386D0B1656B0B8308000000FF09200000000000000000000000076C8A70CA08AA
52786F14FC3B48C5A69855B30D87C6E9643748E102900000FFBA2900000000000000000000000134F2A1CAB491A465D8516C
9840A97485941445726132000000FF61480000000000000000000000109930CA171445970364E5C61A41169C6D94105E9661
02900000FF555C000000000000000000000004D82A18B7BBB36918507E916509F1F849BA2C730CD8FA0D4F32FD6683C40000
00FF4A420000000000000000000000524D90B46CC3A971E51B4DEB0925392649A26C34A2A5F288000000FF52BC0000000000
000000000000000BE5C51337D0006DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000002B941450B22CCC594297709A2728546C2B16904F28502500000FF7F52000000000000000000
000009948857CDAE4507C2716588D0724F564E5A0D8707D33249F0A082900000FF933C00000000000000000000000651B386
0F9A686B3E143B0A6182293688A8FAAD9B90000000FF086B0000000000000000000000047E43143B5A352394E193616907D0
7969149FA50B0102900000FFCC820000000000000000000000004D9C326C283370E509C5943363C33859307C53161B045C0D
C8000000FF43D500000000000000000000002583DC3956A2B44D5F258795116988D4B4EE43151B24E84642900000FF150500
0000000000000000000000942D00EDC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8C230E6DA183E94AC3DA0814300000FF7CF600000000000000000000000018E90B285652365E53568DC56C
3D308A4D830D21650A5221300000FFF6B8000000000000000000000001EF47EAE515A36623143B84586E2316964586D08778
18B28502900000FFF95E0000000000000000000000053CD2145B051655370CBB03DA3C6F185538AC5A6E4361CD30B2850400
0000FF63A60000000000000000000000012AD9494593272859618690B2F22CA165859302900000FFB5A80000000000000000
0000002522C8505942CA8690B285A49428000000FF31FB0000000000000000000000128C36411468E50B2A1A42CA08B29285
02900000FFC858000000000000001DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000986E8768EABC0D3394189CBBC0FB30AE8768D09B6DB230AF23A8E5F45005600000FF115B00000000
000000000000000194CC53140F92DC386C90CD930FAC56C381E258B2A876820ABB48C503500000FFD6020000000000000000
000000063285642CA3215942347285649428000000FFE7B9000000000000000000000053CCAA1E38E22B308A0F9AB44D9154
7C5C3944786185107C28000000FF4FB6000000000000000000000003907194642B274E53864B90705A6CB1A946541C502A30
0000FF24720000000000000000000000128C85286D1E2E165C45E51143B420780F8519000000FFF36A000000000000000000
000001F0C6C822F2CDACDEA6CA009DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A0E5900000FF3CDA000000000000000000000000CC1E29EA27E8661D9E8986A8F323E4334C91D4625D2669
81EC986479100A600000FFC76300000000000000000000000373049E7125072623141679127714512509920C8601200000FF
26FB000000000000000000000006210E89928B2A192FEE068FA24C4FA4D38ADEB2442B22005D900000FF2003000000000000
00000000000623AE1338C0F4A0C688D175C0C860A134778C22BA01100000FFCF23000000000000000000000025458807E214
A464987B9C8F92463203200000FF2BD700000000000000000000001CCA89A3E8B0C366654456593468D5B226468394280000
00FF0631000000000000000000005DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FB51ABED86AB29196600100000FF552400000000000000000000000A71D5C3750F0B8DD43F623D0C170DD4
3D2E230710DF1D5C3786130DD23D4C1004E00000FF416F0000000000000000000000020F4E3CB1E58E2C414F990C63C847E4
06200000FF74580000000000000000000000196ACD4665B351A5748CD4688242D9855F683C0D9A8D50000000FFA110000000
000000000000000004C3711BB28351B303BBEC0ED1B321BA21DA337CD1B275905101200000FF559400000000000000000000
00024C7E49124B030ADDCACA427447C84E2A9C46041005E00000FF8BEE000000000000000000000009DA22D36365258F9611
65D4D12D964D1B30F2E462CB8800DDC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000634A86459A5112C94592265F2EB9442B12625C28FA44A50E200000FF9677000000
000000000000000012A86C9CA63E53261DA862C36E8ACA22C36169B60649A10C400000FF1032000000000000000000000001
CA3279290C902480215A3304E20C4661C86005E00000FF23E70000000000000000000000000EE146566836443B268D922E45
642B38B0F2D228000000FF01010000000000000000000000006BC4CD464BB351ABEBAAFB51AA0911AEA357DA0C8F65921E83
05200000FF724B000000000000000000000009A0C024A8C39A4118920803200000FF5C330000000000000000000000019054
766A3759A8D5F68357DA9D505A003DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3105E150D4F01E2F92E382E23BAE0F88E2F270E1F4AF97E51C45F74D22000000FFB41B0000000000000000
0000000A427C1C113D98EC2CA16548CD052D124B876CB0E0CA000000FF6482000000000000000000000014E22A98650A2D85
9461921490AC52D228000000FF5CA80000000000000000000000004491E2089024FA09884409905230000000FF56CD000000
00000000000000000C986E8CC32D90F25234A6A3C247914CCCB6A1E0688524400000FFB88C0000000000000000000000004E
20B8C305DA91E30C4F18607C28F231C4F1DD103E130F9E4842400000FFEA0E0000000000000000000000032318A849E49511
282C48E20406200000FF54180000BDC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D6CC344D0744D93B4D5764D3B55C5A55765D5B55D9746D33A49B24C502900000FF88D20000000000000000
00000002F0CCCF86BB450B86CCB4733578B8EC695E2EBB74574C3441F84501900000FF334100000000000000000000000134
C39183F09830DC4771D261E50AC85942E221C3B24D03100000FF1766000000000000000000000000A7544E5470B56CAFE4C9
3578B46D1314AF1751A3CB8BBA4D1B24C502100000FF1C0A00000000000000000000001C9A64E062DD3C936B690A2DF2D182
991616B28501200000FF597E000000000000000000000000CD245F5C41D88714C2D36A8A0B2936C8621D3B0CCD01100000FF
29D00000000000000000000000007DC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (97E8000000000000000000000072199666A3687110E298564C3D2141650B285011300000FF416E00000000
00000000000000004998716D0889261650B498750C28B6A9A0E9D81E28000000FF8A9B0000000000000000000000005348B2
D90704E439261653474850516C89C371B8A0C4900000FFFF72000000000000000000000004A618B29A709247130EC85A42CA
165232A228000000FF32A4000000000000000000000002BAEC1EAC9B61661EB6D2AF165DABE1D2AF175DABC5D3657D465D85
06200000FF5A4D00000000000000000000000022E30E50CF0311A42CB8B460B281E2CA90E5BC4D01200000FF4A2C00000000
00000000000000000DD5314CD300FDC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF0F3400000000000000000000000CD76881E4DF385D3748B0DABECA0B9B4AB45CAAC574D95FCD8B6E8A06
64300000FF645C00000000000000000000000047695848D90B0D92A12186C14DDF53B84502900000FF284300000000000000
0000000019AED5D5112D0BAADDE5C3B54146DD42E9570F0ED5F6D3D58DC228000000FF7D5D000000000000000000000000A4
9B62E8AAE9BA86ABB26ADAAE0BAB6ABB0F4AAF4896AB8AE8DD3CCA159A0671300000FF35700000000000000000000000004A
3714610526C0B876146A4B8A515C5002E00000FF818B000000000000000000000019A568B6AE1741AB45D2AF1755AA695E2E
1578BA6DC5CAE8A064100000FF0003C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (82BC68346F1E2C6211305C0000FF7CB50000000000000000000000D69C2E1342B44E126CC9FA236838A482
2CB882B30D18EC28000000FFB2910000000000000000000000011305D9C5076698590A6584D893C1CB1B3C8C607E06942800
0000FF56BC00000000000000000000000324B47E943286C3B2488F282E8D8603F8C46278957C28000000FF3B1A0000000000
0000000000000012643921DC2A1A3664C1F30C90EE15248A4DB2A42761E50A2832900000FF339C0000000000000000000000
1288FCCA865326AD92DE218756C48AE61D3B03C500900000FF115E0000000000000000000000014B216CB348C5B53C59446D
0B64C441F253460F85029000000083C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000011661242E361231E5111D292A100A4100000FF6C910000000000000000000000
003C951C524814401E33CE211D114E0748C0F0000000FFC88400000000000000000000000094081E4A4F29870867C91B1433
6E8D3892449BC4504A922C820000FF60580000000000000000000000012E2658CA861344D2A17482498B4C206E8CBC8A4800
B80000FF03A70000000000000000000000014A4664965843B05B64E6104871CCB0B26483200000FF22F80000000000000000
000000009464926570E156E1E4DB24E9A0C4BC2D1397101EC6D114E610040000FF12F700000000000000000000000CA26B24
9E5258703D0B043B5B8C22A17C0043C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A4100000FFF39E0008000000000000000000000334D997A9970F9625AB45EA66BB4451126C35D1F45EB2BA
28000000FF600900000000000000000000000085504E09C080E207921E9C79121382E0AE07200000FF581700000000000000
0000000002955E165D1FA59386D5765D5B0AEADA0E89B0774CDB02E8DAA7C3D215E060A4100000FF81930000000000000000
00000000662B6BAABEA9667EA1599AAF5A0C8D175582F03DD470B85103200000FF3011000000000000000000000000690521
E86438049E433121984E0589948E10000000FF7EB80000000000000000000000014F247D1755C46CAA47E1666AB30D1186AA
212D2B449BC5D0000000FFCF7700C3C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A668B21594ED38668C978D07E9142C88000000FF7A290000000000000000000000004A4A68E53260B8228B
6ABB4EA1A262A3629E062D82D1CB48A088018800FF52F3000000000000000000000000641324478BD4751225AFA48D974905
D64100A40000FFEF9C000000000000000000000004CA03E45905194283E6BA391EA2936158A159166944110C804800FFDEAA
0000000000000000000000000089040904088200000000FF53100000000000000000000000018D242840781C4E462898002E
000000FFCEC0000000000000000000000002447E7107100B1056CE289C6F898A0748C0F0000000FF99EC0000000000000000
0000000010B3546623909062000023C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000013A88E96CD1D34B4729D395086CA1548BEC47418000000FFD3C8000000000000000000
00000032A6530E29F22B308B2E07D3920B8A1DA194C3A76238A0A4040000FFE2D0000000000000000000000006AE650B4987
642CA150B0F2A22CA638B2D368000000FF0024000000000000000000000000000000000000FFFC5E00000000000000000000
0000211C863C8434A1A508000000FF820A000000000000000000000009326461B3CBAC91642B4492493675A4E8B90EA36488
000000FF7E3000000000000000000000000A548A412C3330F1C4908381313103C641000000FF278800000000000000000000
00191DC4B52CA1EEAD61590E1500A3C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (394293614143B42134A104000000FFF23B0000000000000000000000009424A79E50A4DB2F1877118A89A8
62937C84B474A102900000FFACC8000000000000000000000009A49B2519E83A2C942B7E13C578D2D5CA32E64B03D1052000
00FF86C1000000000000000000000001288F828A36C0E5E4596143C74E1B01EA8CD288000000FF7495000000000000000000
0000004A136459E54395968E5445A8DD165626A592F228000000FFEFD40000000000000000000000013293E8B670E5B20F2C
22CA83BCE64516C2B2A789F0A0A5C80000FF87670000000000000000000000052C928533D1A37CDB28309249B0F8DA2C3B11
46B67505200000FF2BE40000000063C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000000EC948DA5216592CEA2F1CA024C20A200100000FF0491000000000000000000
000009B09B09DD662B90C970A1D86623D261E1B0BBA5070A42500000FF4EC000000000000000000000000029CA03FCA207E0
6D18A636566E0BDA3959D4D4E205CA42900000FFE3400000000000000000000000027116F1061B3466585668AE70D1B06108
E283E265C5AB7202900000FF09E500000000000000000000000C42986307CEB2A4DB25A39594413B0F282290D3CC26429000
00FF02A50000000000000000000000062088FCCA07A2858A6C93648B2887050EC285345E942082900000FFFB670000000000
0000000000000338969445BE5A00E3C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000001132049B0B2E06C399143B34634749126CA18C60000000FFD12000000000000000
00000000004B38C864DA86E9CBC8B4C2C9CB138A5B2A166A3616952312900000FF9C400000000000000000000000084CB34C
A1650A19B2C38B6141653272A1A402900000FF8EBB0000000000000000000000004A4A1650A0B2850594949402900000FFF1
FB0000000000000000000000012929A39428D1CA1590B291950802500000FF79B70000000000000000000000026525E45959
3691A1DF2E98C3CA673BA509D202900000FFD49B000000000000000000000001956C46F1141F74534CD1CACB4E61A6EE1CB4
832E30D3082647200000FF52060013C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00019128D86F161D0BC58F46C2B14134D970EF961E54499790000000FF91E0000000000000000000000000
01F0B28503C2CA68D90B29A3949401900000FF36B7000000000000000000000004A29D32A86D9CB0B0E2DC2C3CB8B863261D
82EE1CA140000000FF832600000000000000000000001280F85959141F0A0FC96292364D1B25194170C0000000FFAAE20000
000000000000000000009494168E50B285942C21654490FD18AC9014E2029000FF8E1A00000000000000000000003107D8CA
68E51B2AD946F264D5B4599507A7132093100000FF74540000000000000000000000007C07C2CBE8A0B2C96D190EEB474A47
8876165014D2019000FFB9E7000093C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF8A0E00000000000000000000000026962042BC0C1266398E0F024C0E12C087683C945601200000FF4666
00000000000000000000000048651449FE4C303D930C4F65121CC34430D94493F690000000FFAE9700000000000000000000
00042C89A435B249D38C2499230AE90AF8182C1E944107200000FF11BC000000000000000000000001516225C0E14066084F
038052B313A0000000FF96BB000000000000000000000003F16CAEF0368E584D936703149BD0D9302D387741B464D8676CA0
000000FF4D1B00000000000000000000000046D10CEDB2CD9326D0B6CC2B05C5950768DD0B2AA49A3717B6E6D96601200000
FF3ACF000000000000000000000053C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6EA1E0F8D06AB82EA1D1F4D872186F0EA601200000FFB667000000000000000000000029444F22BA10C414
D93944C4741C00C5900000FF3E1D0000000000000000000000032899A8D5F5D742B455F6A33CC9A0D58AEA388C659B0D5000
0000FFE51500000000000000000000000265F2505A7961761C3B05C39411408B2F22CB7A1D46158201200000FF232C000000
000000000000000009004902E44A0F0AC350CD104940E004E00000FF4F760000000000000000000000394EC97163D1BA5AB1
4C2CA49B0E90DAB94144E53272D207200000FF50D000000000000000000000000CB308C617C261B253A2CAA64BA56239A688
C383D57440F15CC7640620000000D3C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000EC2CB4B1E1D8526C8F14DB3278C30A2684898B0D8512000000FF7BD20000000000000000
0000000730895164E0623938189624B20925433441241E4025900000FF3F3F0000000000000000000000290AC2CA239272EA
88B608B292689216581C590D43A0D98AD105200000FFA6ED000000000000000000000004E266A308E31C26CC2AFB51C0FEC0
EAC9751847C4B68D10000000FFF66A00000000000000000000000018E219827067912C4D410107200000FF114D0000000000
000000000000062082BA8E1A79764B89D5F6A7579C55F6A3C7D249664734C103200000FF2D44000000000000000000000006
EA1822BA87AB82F0E0E1BC2AB80033C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (25B39DE22C278A109E9324D18496144504000000FF083B0000000000000000000000004A165A4586C170B6
63D3B09C5194E9371E464504000000FFA92C000000000000000000000000192903D43241449187894385990C9049C41C44B0
000000FFCC99000000000000000000000018825A1691A8781913050C2A1A28389C0C8C34A162000000FF9E9F000000000000
000000000000C40F186078A6182E30C87E4D329D0B21F9A4C323E931D07187879100E40000FF946700000000000000000000
00009060601327E06032004E200000FFBE3F00000000000000000000000338A2CA868B2A3A2C9164B730D910ECE34188B244
31C5900000FF8574000000000000B3C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000198AD6D76AE975DABC5DA6AF175DAA6E06AF161DB2CD46C8B998288000000FFB7
FC000000000000000000000008AC2CA58E50B198594C382CA4849A899103200000FFCD60000000000000000000000000CA16
B6BB570BAED5F693578BAED5051578F42F142EA311D49107200000FFB30700000000000000000000000132585950CA0A6165
1248592C2B504D322024100000FFDACF00000000000000000000000350B2A105942D265C451354D12C7828000000FFE30F00
0000000000000000000001881ECB860F94321CEB83EF0C1744581F530D1750DC3A1C970C2748C500020000FF74B100000000
00000000000000250B2A0FC9E20073C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (15850CD904942C8A459402900000FF5BF7000000000000000000000001742C2CA07D24DD264C3CB8A10215
A1F0927844BE6101900000FF9B3B0000000000000000000000004E1616529350B49118CEA08963D94200CE900000FF79A500
000000000000000000000290CC2CA4690B285A4C9A28590AA2F0336C10000000FF454300000000000000000000000255B5DA
B55D66AF171DABC5D66AF86570BAAC9B6A385280C6900000FF483C00000000000000000000006328594A42CA169327892A0F
0F28A26CA101200000FF23B8000000000000000000000004B26CCBAB6AB82EADAAEC9A36ABB2EADAAEC9986AB82EAD9270BA
87481E25A102900000FFB6840000F3C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7B36AFB5DAA43DA8B1E6DABC5D7688A31996CCA32B5925C502900000FF2730000000000000000000000000
EC959A0D0B30F299842A5641E5C40A158502900000FFE9030000000000000000000000321DABED7657EB2A3C5936AFB5DBA2
A249A164D997419DE2C2B74502900000FF7E72000000000000000000000000F46D5705D5B50C9712D0724D5B55C87254344A
BA26ADA2E294765D1B54CD02900000FFDCCF000000000000000000000012929116C2B465232B341B9865B0A658746C280000
00FF8BB500000000000000000000000655E2EBB45194D3675578B26C971542E8B24A50BA6D50000000FF6CF8000000000000
00000000000158597121C3309D000BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FFF30B0000000000000000000000129292A1CA68E5A0F2324CC381151B2225A14428000000FFA00700
000000000000000000001284DE30CA449B42F105E434683858796170F905439428000000FF173A0000000000000000000000
0CB32C22587E44E55A4DC1F8A264F364390ED9CBE61BA692D2305C28000000FF3351000000000000000000000066994398BE
30C1F3A60F85226F213E0974A47A8502900000FF044F000000000000000000000011D929186E9165B045622C3C84E1DA5E88
1E93C295E268000000FF200D00000000000000000000000094941A4C4B09C594E1CAC9A88C4F89669160F64502900000FFB8
9E000000000000000000000000008BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000188AE4529A49E2F0342E6124C275060000000FFAEFF000000000000000000000000
0FE71C402A18E44AD8D34920000000FF7F48000000000000000000000012A207CC38A709B24D8515C1870C36078A2D84F0D3
88A480640000FF918F0000000000000000000000255328544D0CA23B0F4EB09944C5B230D913A428000000FF481D00000000
00000000000000094474A842385B11330C25B30CA426918AB98501900000FF1A2D000000000000000000000004B0828D9137
4942CBB254C30B3CA362DE34D388B48501900000FF1B78000000000000000000000012BA1583E41C1F66839581DA619A3898
62C770BB4615A3A9A388A480A4004BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (078D02000000000000000000000025D7666BB5587971B6BB21C596F91607D6DAECC7996AF164FD56680000
00FF1AAB00000000000000000000000E44F27273482740E15820F2E4A7639380E5900000FF791D0000000000000000000000
001756C2BABFA9B268BE1EEBAB6A1B868BCA62E0789EAEAFA2EA9A36ABB2759AAE28000000FFAA3600000000000000000000
00019AAC15D56AEAAAB45D568A3AEA8A265EB55EB45B249D06CAB418000000FFDB6D00000000000000000000000391C8331E
B20A34E24B924049E403200000FF3B3200000000000000000000000335D99AAE8703F5A2D9AACEF05FDB2E9255D6ABC75F4C
344D954D00100000FF1F22000000CBC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (452F94D36488000000FF7E250000000000000000000000214A1790B2A46CBA3616555DF749C1765D5B2450
7E1F0CDF11C503500000FF8D460000000000000000000000072F412425C89459642410257D46A3A4124103100000FF2FD900
0000000000000000000084C85028CAD61B2207AA7C5C2C9B6F922CB09869793418000000FF8DC90000000000000000000000
00021003CE26408001D00000FF806F000000000000000000000000A110D28CF144C69A71C4E985C90DA860000000FFB72100
00000000000000000000024C405F150C909650F1A4C3E496578E2E40000000FF2E980000000000000000000000000105183C
466C4C14867204C403200000FF002BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00A4961C369B45C786C1342B268E228BE145B3262D8507200000FF829D000000000000000000000025C454
0EC9E3683B26AD93D1CA70CC3A5AB94E9C2E149B81C500C80000FF3541000000000000000000000012F22828B64A32A22FAC
8AC98746C2D2165A4505200000FF0024000000000000000000000000000000000000FFE31B00000000000000000000000084
0848C031030908000000FF6CB100000000000000000000000A2F14445965D659462B0AE8B755D6AB2321916488000000FF07
8000000000000000000000000010C691928619F2C5C863866771A8C270000000FFCA3C0000000000000000000000052C9142
881E550B75183C9A1D12518AE900ABC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000440F8229178E521C526E932B413268DE2D1A262790F2A202900000FFBD060000000000000000
0000000734E22A9C0C5B2ED8F1ED2F61F4326D2D26E11493641DD288000000FFAD630000000000000000000000334987446C
A4B572922B2A1BC651C59696DC53842D9101900000FF2C4A0000000000000000000000029A7116124E194D1CBC8A25987722
83F81B4629C8686C28000000FFC6610000000000000000000000053C8A3862DBAB56C2B34B2613B369B663E911B1A002C800
00FF662000000000000000000000000879A4C38252C5B09D8746DA3171385A3E56368E1B14D970ED07B43B46C503200000FF
14160000000000000000000000006BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000004A95A250787D161E5542FC0F683CB56CD83E1FB78992CC2602900000FFDFCD000000
0000000000000000005214C3428DD2838B6084B09DC3C54371E5C5A316CCBA0C2B0DE102900000FF48CE0000000000000000
00000001219A26943845F432B1650B6BC925A3949B65C75661846466900000FF07E600000000000000000000000132922918
43B8458EC4CA3607045942CB19893064E6900000FF4A91000000000000000000000021B2456381CA4D8A1D98F90F2370D8B0
F9D85970784CB3840A61300000FFAD3C00000000000000000000003916D07179E58794283E14E514ED0CC687721DA59910FE
90000000FFD58900000000000000EBC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000722DA911D9149B38729AB86C1721C68D5BA59709661D348A082900000FFFAC800000000000000000000
00004D88E048CA64D1B0B2B5744B1650A2348502900000FF7EC900000000000000000000000128232859494282CA15923285
02900000FFDC6F00000000000000000000001282329A39494282CA1593A0A00A900000FFDB8B000000000000000000000022
326504650A1D92BA304E1A3668C1417A4502900000FFEBE0000000000000000000000000086729884E4393F4B4F4BA28BF88
A2998EC383E1BB0E83AA62D389A00A900000FF28220000000000000000000000066932708B8183F08B2C2694C9D2E4E565C3
16F90960000000FF6C5E000000001BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8232A1942CA68C1650B2859428000000FFDC16000000000000000000000000AF88E29E34C20F8564D3CBCA
10B285841028000000FFDA9D00000000000000000000000031CA02CA141F05C30ED188C4DB4DAA680501D00000FF5D840000
0000000000000000000007D88883ECB268DC2D1CA09C8B2AD1230428000000FFBFFC00000000000000000000000094048CA7
2E526D16D0B02B64D9F85CB07D84C8A082100000FF5EB10000000000000000000000094481165A59346F034AE8C4F470F867
E5B2461B045003300000FFE3C400000000000000000000000086C485449B0A4DC869416AE3B0A1DB22A1711A3C8502500000
FF56D600000000000000000000009BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (01200000FFFC0E00000000000000000000003165A3424EAC893643329D522891648B3C926CB288000000FF
208A0000000000000000000000019027229A20ACB71724920511D6648349200C300000FF615400000000000000000000000C
412477205988F946136A45167946C4F208000000FFBA4C0000000000000000000000033940AD1CA890E8D85944B170305AB9
411654619428000000FFB7FB0000000000000000000000532BA069314DB90EB30EE1364D5BC2DABA0D2364C9BD7A3A6FD48C
3B84C501200000FFC277000000000000000000000000E65E411D8F96161E524D946E2CB0F2AD17CC742E5C4D01100000FF4D
D8000000000000000000000012005BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CB109E4E417218F96223B11CA4311C06200000FF330E0000000000000000000000019055040AEA3459A8C9
4355838D5715D4755C1E31D92550000000FFC2DB00000000000000000000001897609A1A32AA367DA4CDB3660B29A342E336
9D905101200000FF0D320000000000000000000000012107928CCC0C90449861CE303928C0E004E00000FF19980000000000
00000000000004B118A1B272C36CB4B272C8689820B472822CAC0E584500900000FFD527000000000000000000000000CB30
907E48703D73A5E21D4B186838C303C73A969999707A8306200000FF8A7C000000000000000000000004820325A23C8C118C
10CE1042B024BDE54390C2F60600DBC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A26488200000FF8FC60000000000000000000000049004C4CC0C27844E060125139311C0E005600000FF10
260000000000000000000000025045950CA238ACB3458B608A482D1CA1650B286628000000FFC0CF00000000000000000000
00019A9D504ACD751C215D4639D2A1AA095F6A3564BA8F565121D105200000FF5F92000000000000000000000000890100BC
018F2001900000FFFBAE00000000000000000000000066A35412BED461B8975190E055070357DA9D5F6A3559A0CD30000000
FFF6730000000000000000000000033775735315D43D4E3750F0315F1D5C3347570DD43D5E950D130CF23D4C1004E00000FF
8E760000000000000000000001003BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF72F10000000000000000000000004A865422D88E2CA17A34B8B269153B50C5469C2E551D8706200000FF
6A34000000000000000000000000020914831181750CA7138F28E3C832000000FF7D5B0000000000000000000000042DCC32
183262414408903C3344215251C00000FFC7E900000000000000000000000096078C3ABC6181FC986858C341CC747918607A
26191E1B9A6BFA8600A40000FF9C5500000000000000000000000A69161001844B0791200400800000FF93EA000000000000
00000000001910E25A8312C8B245926C78488964A345928C56440389200000FFDA980000000000000000000000532A146C83
CA16531F2AD05961B45346195000BBC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8B42F1C0C5F84501900000FF6A4700000000000000000000001294307D0786514D93942F46924505942B21
58A2D85628000000FF2ECA00000000000000000000000066BB2472E4685D7665D1B1CF5ACC8F361578BAED5E3FD244D9CE68
000000FF54FD0000000000000000000000004A86546194471656683A7168C1650BA81D8501200000FF5B1200000000000000
0000000012A1959C0E5D5974ED14655A6185942897AE270DD228000000FF501E000000000000000000000000C41F6864F943
47CE593630EBF0BC9C707CE1F38A8E0F8500640000FF5F3700000000000000000000000950936849B26D949469C587854F16
9B45871AD0AB637E3A41900000007BC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E3664C90B2858CD946544502900000FF27A20000000000000000000000129285942C368A32926D461650B2
984E61C37118A026900000FF627300000000000000000000000128595434B4B26ADB341CAC8A0B285659D43B25C502900000
FFD9B900000000000000000000000335D85959F6BB74D949D6BB24DC3855E2EBB578BD5124C9B0A0C6900000FF3750000000
000000000000000001292E22CB88A2D91A71141650B8A1657A3748A025900000FF1CA3000000000000000000000001756C94
2B65D5B84DD6E125D538787C55765D5B55D9748D07469B21C502900000FF72540000000000000000000000335DA1940F42EB
B23C59487D6B3D6D255E2EBB5700FBC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B4850D0546C8C2664EA30B28534D116503C502900000FFA7E0000000000000000000000016D76AF1751BB6
D46AF175DD5745D76A9A91ABC5D76AF166DA36D46438A0A6900000FFDFB1000000000000000000000019754D5765D4352D17
54D57B45F55C932CD5714ABB2EADAAEC784BE5D5348C5021300000FFA2FF000000000000000000000005942A98690B291956
82CA1650B4E228000000FF2916000000000000000000000019AED6D76B6BB5787A55A2E554D055E2EBB578B951B6BB0AC500
100000FFCBD30000000000000000000000025C52E29428B6445B0B085942936238B28D02900000FF34890000000000000000
0000000129266CBA9B298F46C80007C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000432E22E0658E5A6D942721D1B50C581C2876698ACE2A1828000000FF3B3B000000000000
0000000000420F92290F0A862DA4FD583EA2C9F0A879C786E2761D1FE4509C28000000FF0C8D000000000000000000000007
0E50A48562283E32E50793C61370C1F38615FA88B608A322900000FFE54200000000000000000000000141F24CD23E18D1CA
4650B6985941142B09D12228000000FF56B4000000000000000000000000165C454870D21650519568D1CA17D2348D029000
00FFAE9900000000000000000000005B5DADA8C0F42EB3578BAED5A2EBB57872BC5D76AF1E4D9BFAD661D8A066500000FF4A
1D0000000000000000000000000087C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0910000000FF645F00000000000000000000000B28522E289691C24DC4741CA898BAA862CBC8ACA2683976
171640C80000FF85B9000000000000000000000002CA61C17520E2F88F16F164C903ED26D1159C619428000000FFFF1C0000
000000000000000000059428261D92450EC8A7663C9678716CC9B3C9B2F228000000FF760500000000000000000000000594
C3B5166B44C5A6C1A0E554505D145B41F8794BF161B0A4A9000000FF6D4600000000000000000000000143942B9851B44596
4333C9B0B09D757D164C85D1AA41480000FFFD6D0000000000000000000000432852618650B2E28C36EF2EA3B22CACB46619
2E1828000000FF48C1000000000047C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000638F2C79C64340E02E4E44F209D1D42B6207200000FF1F300000000000000000000000
0ABB2EADAAE2B5764D5355DD756F5765D5B55ED29D5775D5BD5C5A53769C5B48E105200000FF111300000000000000000000
00023F5AAD5A0C49EB57EBAD56AD1755AB41AAAD9755AB6185613A31590E88000000FF52ED00000000000000000000000240
9212072720C0C440F0000000FF789600000000000000000000001AD1755AB4191D68B30E8BAAEABA2EAB569B2B45D56AD26C
9142C3B0000000FFDB48000000000000000000000004A29534816510B023A81E698103D00000FF2409000000000000000000
00000C52811C4E21284833219200C7C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6C369B8A2DC228000000FFD07700000000000000000000000018618E4665EFAB0C1A9E2B12EE7541A1E8B0
000000FF369600000000000000000000000170AF916522C822CA4DA4DA0F6D8683B868DE2EC5797A2CA288000000FF9ABF00
00000000000000000000000000104830B200000000FFCA36000000000000000000000019A40C708E928823E2132804F28400
0000FF2A2D0000000000000000000000000271120286080D2EF22203200000FF4D2D00000000000000000000000010840201
272244F30C08000000FF22D80008000000000000000000000568BABD534AD9F0DAB45D7EAD1757D57C5B2AF175FABC98B7A9
A6C85628000000FF8CE30000000027C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CB4DB28228000000FFC2F7000000000000000000000002944597164C5B4587A485A26448E1E4D9294961E5
29C507200000FFE21E00000000000000000000000094004A0000000000FF68150000000000000000000000094490D20B9250
462434A1A508000000FF16660000000000000000000000003244442B56622C92C89659126C916488000000FF94F500000000
0000000000000000C50C219E30B98525928483F388A6C9C9E52342C144000000FF7AB100000000000000000000000178AC6D
89695A257BC765996A0D905D144661A16440F45D10AC88000000FFF2D700000000000000000000000641716F91653460FBA2
CB21A6689A0ECBA8683DB8D98700A7C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (242C5B85163E5450B75124000000FF4B1A000000000000000000000003265E544515E8336136A15FB41898
61B03F5651444BC683C4000000FF960400000000000000000000005329A3979865058746C61CBE23B0E24870EC970DE58487
9428000000FF53280000000000000000000000065968E5E4546C47B49B2385993128A364A092F2D228000000FF88FD000000
000000000000000002D876A3761A17490E2D2A6E10CD1768342F1BC51949354B29C500100000FF437D000000000000000000
0000011305C3943B143B90C5C4C0F469226061D924DCA078ACD268000000FFEC76000000000000000000000000A59307C876
8D1B7274B2838924D4726116D80067C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CB7E5C326C9865245A52339C1F89DA305E943F906102900000FF96400000000000000000000000190A29A1
84D4316521685B2282E4A329874CE8B42D4C2882900000FF5E5D0000000000000000000000004CA1453250705C287624C9B4
34E562CA862329A46182900000FFBB2E00000000000000000000000649161348F0394D3C772A2258308E6161B261852314E9
324482900000FF6E9E000000000000000000000004A4B4F4F2E1D2A18B492396910C378C20CE1A5F10000000FFB571000000
0000000000000000052C85A79149B5B4B30FA4A9050B26C98412C709A202900000FFF8C100000000000000000000000046F1
B71B36E12CC9BB57365146C43F00E7C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (914345B0B28502900000FFA2A20000000000000000000000000A0B28505A42CA8650B28502900000FF14AF
0000000000000000000000012928505942CA08B49A39418650B28502900000FF128100000000000000000000000004A2C7AA
636505C51289A2688B0D85969149BE4502900000FF2496000000000000000000000002916E903FC8B49CB0ECF18768B8109D
A3963761D1B25D386C28000000FF291F00000000000000000000000199793417A61A5E3151B12E10FC1141A5194D9A248000
0000FF0DF8000000000000000000000000AC2D30C3099AB0ED81897AF1649A3741CACDA0888102900000FF82740000000000
0000000000000265A450CC8F420017C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FF35B800000000000000000000000250C748595903E74C9B84544974C1220B859428000000FFD0C9
0000000000000000000000000994283E091B42A452229264690AC59428000000FF81A1000000000000000000000001292859
42593644CBB04542C9A26761314C507C28000000FF6DDE00000000000000000000000000A649D9438713851389DA384C148E
93460F8502900000FF2A71000000000000000000000000F8EC90ED50A4FC64CACDC28D8309E1C2741E0749C39428000000FF
C680000000000000000000000000A49C44985A4499361643420BB8730E169E50B28502900000FF8CB7000000000000000000
000001281D850CC8CA165261A40097C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000043711F24AC17A4167121C107C4784F95447C5141E4329D90490000000FFDAA8
0000000000000000000000128E46390E90CC49328A520A2293A0000000FF28E900000000000000000000000128DDE22B24A8
49B2507286D5FAA690B2F268000000FF88EC000000000000000000000000139909D37645D414BEC28D8E91A2365D9A4C2BC4
E936ACBB84C501200000FFFF5F000000000000000000000025C5994C3362BC0E92ED4750E584C52266EA4A23B0F292680000
00FFDE100000000000000000000000000A42B203E841470C0F040F859428000000FF389000000000000000000000000250B2
81D9A7622B13543186298594280057C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (354101900000FFBC490000000000000000000000004B209207D2E1CA0BB2828CB03BA082D1CBED47282EB2
0A2024100000FF5E1500000000000000000000000918D4E15C86E5D14C90C906E4864E20C4788201200000FF6EA600000000
0000000000000004B818574DEA2C666AE503C544E134EB2F4C22CB709A8DC228000000FFF82D000000000000000000000001
8B2C42F268B03FD454FC8DD13C4B32C184EA313C6981EC9A707A8306200000FF412A0000000000000000000000002412D09C
468B149E9C71A49296161592785C670E1601200000FFF4F3000000000000000000000000650BA64B4510AD177552CC385945
03BAC910876488000000FF019600D7C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (291648CACEA15DD648C36238A8D85E28000000FF852D00000000000000000000000546ACD753ABED4F55FB
5190F0DD464B88B351AA07E261EAC98E88000000FF1CE700000000000000000000000000A60425218932C988202480E59000
00FF46960000000000000000000000014D46AFB51AB25D46AFB53AAA8DDA465C5753AACC3AFB81A205200000FFFB0A000000
0000000000000000000DD43D5C3750C10CCC3D5C37875305D43C5C907470DD43C0C3748F530DE1D4C101200000FF0D5E0000
000000000000000000072C79018831F2C79090F96213B206200000FFF2FC000000000000000000000000CD46AFB41A0E455F
48FB51EA65166A324D9A0D56680037C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5E9B0CC924F289868490000000FFE1B700000000000000000000000097164D109D0F229983C9B42C419A11
87CE20000000FFE24200000000000000000000000190BC61C9E6398617B26195E30C676A13E26181E30E27E643E484000000
FF252200000000000000000000000C448080A23130C0C72D2006200000FF8A0A0000000000000000000000025893C2D47452
B50ECA3A89630E1C510AC8FAED07159106200000FF137800000000000000000000000532850EC2C36C8A9D82EC393664C165
0A2DA1A508000000FFC6650000000000000000000000021981012425299288E230240E4987E84F882006600000FFE2AE0000
0000000000000000000324590B00B7C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000AAC1159578BA6DDB6B3445AACB341CAFA4D90EC92661E574D07200000FF1E0C000000000000000000
0000006650B898595916905C5A5948C110ECE313D2C9B28501200000FFA7B00000000000000000000000016856143BE45A49
B5C8145BA5116D4363D5BA4507200000FFDB7B00000000000000000000000173860FEAE183EA1B961B9C32FD17668718E0FE
261A3EEB8E0F8544000000FFC5CD0000000000000000000000148597914BB21C50CD4341E90AC69386DCD2B73B31CD320000
00FFB3730000000000000000000000072297648A9D85A581B2057CA21594F3687688AA41900000FF25890000000000000000
000000251B0A1608F68A7E49B40077C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000956996161BC45950CA298A0A4DA1951145B23C503200000FF978B00000000000000000000
0033558542D5E2E5D6DAED5C0EA77874AB45CA57E175DB3C393708A0C6900000FF5E5E0000000000000000000000532850EC
2CA259B2F289CA4B4610EA61A64F145B12CD01200000FF6C44000000000000000000000000E54B058F16D5764D3B54F9756D
5745D4350C1A55724D954D5754DD345B0BC502900000FF14D600000000000000000000000057665F5578BB6D1B6B3545AED1
C0CAD16EBBAE670B964FC228000000FFACD7000000000000000000000052C85942C361690A84D1311B0C8D34DA4D85052000
00FFC40500000000000000000000F7C040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B44502900000FF3D6F0000000000000000000000019750D57173B55C1756D57A51B44F950D0D225F1650D9
750D4364E548C502900000FF510B000000000000000000000005A56CA1650A4DA9A49850B261A0B2E228000000FF48E20000
0000000000000000000335DABC5B2AF175DABC5BA8C35DE30B2C0C146D95916BB64501900000FFA9B6000000000000000000
00000CA10AC2CA41D4A260CE1A5186112F8716E136524D02900000FFA81E0000000000000000000000094C3EA1650B4926CB
0E0612D13C429378B272A228000000FF36E800000000000000000000000650FA153B60749934299D261C2B0A0F92D5C37118
A026900000FF75D40000000000000FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E70F0F16DC307C28000000FF7B4F0000000000000000000000019724CC983E30E567124D916966145D081E
0F464822CA08A012900000FF40F4000000000000000000000005A4C3AB6441F0B4985A61C0D948D1312C2B164E5445019000
00FF54FF0000000000000000000000193A4116505439494A43316903DC346C6A1F0E524D02900000FF256000000000000000
00000000016B3578B16D5C2EBB578B0ED5F6B345C5A69175B56DA8C1F61EFB07C502900000FF82E200000000000000000000
0000296CA4A4578E26D70395161DD64DA59373B64D02500000FF5A31000000000000000000000002DACD5369B578BAED5E2E
4B5703ACD0D24F0E56DACDDB51008FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (491942937C80B8B4803E164C316530E4D92628000000FFC1E90000000000000000000000002D2165261945
3136951E83C46CA4B0F299316C28000000FFE63C00000000000000000000000016930E4DA28393648A88C62866449E45942A
3616544539000000FF62E00000000000000000000000010D2441F0B2F2E1FB4A948C5A0D944E544586C4BB6DBA4584000000
FF8F9C000000000000000000000008BD2159262DE2D1CAC90BA79A5916C3050B9607B43B24516C28000000FF506300000000
0000000000000000869A587942D3643A9C518CC29286532EC850EC28000000FF747B000000000000000000000002125F1145
FC5A326E83369221998772A9B3004FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D5364CABBEE6DEAEE9936A1A274A4708000000FF74E000000000000000000000000047EB55AB45D5781997
559345FA8EB57BA88261EB55AB45D16EEA85A288000000FFF73800000000000000000000000007288020486C8391B2080000
00FF558E000000000000000000000006BAD56AD1755CACD56D5FACAACD5647A221F5455A2E8B07F9B8C1F0000000FF025300
00000000000000000000241A42A10461C9297244E2D819811208000000FFAB45000000000000000000000004A1448161E528
8E066030E92200100000FF6AAF000000000000000000000002D2449B74594961D10A2998192B642CA158B28544000000FF67
2F00000000000000000000000B00CFC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (217D2225C3C9B5D61BE51941168B24C4D2000000FFD36C0000000000000000000000000904209676230491
2D922C8002B00000FF84D0000000000000000000000000A1941856282EC80207922A4001900000FFD0F70000000000000000
0000000A41271C84BA4484208930E92205200000FFC6470000000000000000000000052482277914B209F113818112080000
00FF62D5010000000000000000000000AD2755BB45D76CBD75DA57C5896498B55EB4195A2C1F578B65916BB64505200000FF
70F9000000000000000000000062090E4352745307964671E4C46200100000FFD6CB000000000000000000000055D8756D57
75D5B53D9756C4F44E551D9756002FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000FFB16100000000000000000000003105005A3148049A50891D20000000FFFD5900000000000000
0000000000CBB0A2324D4B569D443EBCD341A28FA8AB282281E0000000FF6E5F00000000000000000000000A417C6905E1C1
C81C90543F4A88CDD0BC898EC890000000FFA56500000000000000000000000644B8AC8A28D16CBBACD68A3ED574588FA31D
984CC36490000000FFDDAD0000000000000000000000729547844B0F290F95170C5B51D97575715530BC594143D1BC4D8802
B00000FF750D0000000000000000000000019660748C5348C4498AD1A541D060FBAAC2741B57E852000000FFEB7F00000000
000000000000000E529866843B00AFC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6F10000000FF004F0000000000000000000000016C60E4497630BC36DA464991A6984AD82A1A10000000FF
A7FE0000000000000000000000012E22124FD15CC32A4611FD41D3B40EADB0388D90000000FF070900000000000000000000
000046D96DD0734C516D115952DA32CDC598623DB2EA49CA47B464D90E00100000FF8BB50000000000000000000000004A68
C90A9D847F22FA838BE82498B621E53472C201900000FFBA0E000000000000000000000004B4B10B2D286951D8B2721D283D
2055FA79C690000000FFCDCD0000000000000000000000097111143B4452134C2C2849B24D290E8407200000FF7DB7000000
0000000000000000000500A000006FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9124FD357860FE30D234165A61326C02900000FF03DD0000000000000000000000005B36630F28557C168D
9C54FA2EE183E4C32B2C386E88204A500000FF3E0800000000000000000000000128C6E58964C3B03B18C50EF5469D45A6C1
F41E1FE450C602900000FF89880000000000000000000000009428A7143B0B4F30856498A438992326D4A260000000FFDD23
00000000000000000000000255B21922E3652850BA45A698612572B27202900000FF859D00000000000000000000000056DE
314944D1B95E1165381D07950BA4F192E9452BA0D5BF610ED230000000FFD3B000000000000000000000000250B0B03A40FE
4175610EC27087057441FE523100EFC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000004A1650B28564D1CA1417434A1128502900000FF9C4E000000000000000000000000
E469C9B0A1D89941076469226DA22D20C24D8502900000FF30E9000000000000000000000008995FC4C5954363E524D9316E
13E9C9B15D9314D1B1E50DC554E9843B44CD02900000FF6D5A000000000000000000000023B0A761A4E1CA64C9B84C451229
3608B4B208000000FF2C5C000000000000000000000000C85B445730C66CA51A1FB303A2711B46488E2A36E4DB41C18A9000
00FF3E16000000000000000000000002123748B81A942C9896CDB0D986C986E43B8E8BA1E2877E8A2BC202900000FF747300
000000000000000000000094E1001FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000521650B2890CC184BB451849754683049A428000000FF88F60000000000000000000000052165
5B2C46C98A68E46ADC36C2C8974DA268C20A966D04000000FF667900000000000000000000000005942D3EA13251A0B82282
861B0B485009300000FF5BD600000000000000000000000446E9B292C9C2E67E9844D85A42D2CC28948502900000FF2D8C00
0000000000000000000001CA5E4526CC3CAC45B04D46CC9D235E1A45C5CA1CB4B26082900000FFB655000000000000000000
000000A73B74594916530CD46C2CA48B2B28B11694328502500000FF76910000000000000000000000000B2859464948D284
8650B28502900000FFFE550000009FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A3E61E415A027E41660F12C31861B3518101900000FF953F00000000000000000000000A41436EDB282289
1D361169C9B25245A428000000FFB5B90000000000000000000000009A5943719B468D8A648345A0E6DD6E1B84B64CD3AAC9
36EA368C5384C501200000FFCAC4000000000000000000000000B8A6A18B2C2C9C7783B28E385CA8483A0E02F2B8BA6C6800
0000FF68A8000000000000000000000005216504594D181841F0612112859428000000FFF788000000000000000000000007
34A2623E16541DAFD3C91CB8A225C487E4A428000000FF7C9A00000000000000000000000A4942CB499432A8310991148501
100000FFE50900000000000000005FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000016985F0C213E2085661F24A5758725C468CE33C4004E00000FF61D9000000000000000000000002
43388E1969E2D1B06116D43C0E54249B3A511650B28503100000FF3A0B0000000000000000000000062CA263B2E987159269
C1E32E4B92EC3051A0E0F13CC323C53B5C0F0AD106200000FF86E6000000000000000000000000C62350C92C961C6B24C3C2
E3FE6909D81D24D1E4BA086612C027100000FFAB930000000000000000000000019061644981EE5945116CE3D79241648A16
0BD0F4D288000000FF1A7A00000000000000000000000334FAC38170C68F2608CF09986436309A2685C26840000000FF7BA5
0000000000000000000000317500DFC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (EA40E23C0F55B351B91105200000FF8476000000000000000000000000240941B111127641320790040010
0000FFFAC6000000000000000000000000CD46A826453857E95F70747DE593AAB35193E920000000FFE1E700000000000000
000000000005D4355C909E1790EAB8660E9E1B9D09DA392A1E861BA8763866618201200000FFB1AA00000000000000000000
0001C9CE22391C490710A789D6490F942F141C06200000FF4ED8000000000000000000000003351D46082623F4C3448AC469
126CD47480000000FF609300000000000000000000000132D2D1CB03B46CD2D1B227B4F2A2D3816AE505D6703771B0510120
0000FF821B0000000000000000003FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000002581E51C0FE518BF181D4F18E07A83E6137C4F66C34BF950C4F14C84005C0000FFCE
34000000000000000000000014A2325910E2442C99A4398480000000FF076B00000000000000000000003D2225924BB30A26
59288962DC2B50665A83544745922025900000FF5EFF0000000000000000000000019A6530F2A14ED0CA42E1B05C58691650
B2859508000000FF814D0000000000000000000000004266064E3084E6182B30D158C31862519C67882005600000FF93E200
000000000000000000001285645363D0B84C564161D990C55355B2A0D9845C2C28000000FF66410000000000000000000000
0035D46E8241E131307A0EA83800BFC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C47CA25C288D01200000FFC95E00000000000000000000001C9761690A2D98742C94691A13D0716C6E308B
4AA628000000FFF01B000000000000000000000000C41F44CB05D9B51F15D98DDD32D0F745E49332E3F38FC9C305E914880C
820000FFAD330000000000000000000000046F981D1B650716D904D4905C548C2B4A66C7B68D070504000000FFEC39000000
0000000000000000004A843B4703942A1621C333A78930B283A24D84F09388A086200000FF69B30000000000000000000000
021859348D166A35A7489AECA21181C020000000FF0631000000000000000000000067952290C21513C50B94D13687CC2A9A
124F9520000000FF3E0D000000007FC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4EAC9CAAB464DC87C5D57098A0C6900000FF760D00000000000000000000000128594B6C2E2607993466AC
433A4949C4FC4D01200000FF2BCD0000000000000000000000528DAAE4BAB6AB82EADAAF49A78C2EA5AAE2D28590EA5AAFA8
B645D4B84D02900000FF5F7500000000000000000000001926EB3E2EB3578BA55E2C3B25CBAED534438B5190FC9389A174B2
28000000FF29170000000000000000000000011D91A42D243688B28504E29CA289E0749228000000FF840E00000000000000
0000000003916DDF6BB570B049F6516DAEF514698B29762B37CE1791C228000000FF276700000000000000000000000532D3
0CA849344C516D9365C5A32D4200FFC040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 32 TDI (0000201B);
RUNTEST 3.0 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3949364E1B326198512785830A53428DB228000000FF73A00000000000000000000000019AEDDE2E94510C
D5E1E556116D534143D9700F0CD1B6DB24C500100000FFB641000000000000000000000012B288A6CA3490DF283CA16930CD
D0C17606078A1D8502900000FFDACA0000000000000000000000004A171311C8F84C99B664BB0B49933AA4916515C5029000
00FF5FEE0000000000000000000000392EE946922CA3CCA19932E3D1D261C4B5078C2E1CA918A026900000FFD53600000000
000000000000000A65045949691453606CA262D24D168D98FA44B15903C502900000FF9ED000000000000000000000002576
8BAED5F6BB27D26C9342EBB38700002040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00995090D264CC326D2CC28C9B2EBB48C8AE28000000FFD8EA00000000000000000000000096985CB1E6DA
284CC3D264E930CDD6E17170334EA58502900000FFAF220000000000000000000000066BB770BA8D51650ACD97536954DA59
86533619ABEB2438A066900000FFF9510000000000000000000000004A5074F262DA20546CC3D2871328DB30CB09A0E69000
00FF0DD0000000000000000000000000CD76AE175195E2D7649A5D96E2E36AF174922986158289AB85C76918A0A6900000FF
5838000000000000000000000002EADAAF4E2A1B0E4D89ECAB6ABB1F4AAE2CA25C309AE0ED4745D1BC4502500000FF40BD00
0000000000000000000012A11900802040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000012A14B6CAC8B2C2293728A15C3C58764DB285998701900000FF3A25000000000000
0000000000018CA49D10452ED43B71C0DAB07CC9C2EA507C348EC314858B1181480000FF62BB000000000000000000000000
950B1B6544127961E50A889751CB88A8850539000000FFA3C7000000000000000000000002114E5C61E42D37C81F11DC307C
2829CACB862D88E24C28000000FF648C000000000000000000000002215CA4C214C5A6E114148DC726C93150AA0FB2D18771
1C46498539000000FF35D5000000000000000000000001294310BA5C86668C9384524C2BE8C0F25121C11590A082900000FF
03B9000000000000000000000000402040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000444904E41311F206148D41C208000000FF50B0000000000000000000000000CD56AD
0621F5642B81FD568B42D5A1D949D9468F338EB4590E01900000FF61DA000000000000000000000004264859324DCE20238B
788B89B30D20000000FF25180000000000000000000000001448361852445C88F10992A18248AE07200000FF356100000000
0000000000000009483A9149BA6D0CD1169327082E59B323A38A86282858A080C80000FF1477000000000000000000000004
A4A168E368B691864C930B89868B223E10A885C4000000FF940C00000000000000000000000094942C48B2948596914C8A2D
8586C94C28000000FFFFE2000000C02040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (08A20B9062E690000000FFEE810000000000000000000000312208492410324A0E84C2750C10AE03200000
FFEB5B00000000000000000000000014A214B181F1C49C0D9620000000FF5FF601000000000000000000000066BB4AE832B4
5D56159BEABC5D5F559A24DCB46F561C0F1D74592628000000FFFCEC00000000000000000000000188E69634928389024864
F2241A49C590000000FFA5D90000000000000000000000015D5B15C52AECBAB627AA66FEAECBAB6ABB26CE06CB8BEA5BA62D
81EC98B78C20A5900000FF18610000000000000000000000004BAAD5A0CEEB45BB45856AD5E15AB4199D6AB54CB4AE1AAE8B
48D107200000FF486F0000000000202040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000003924670342F9470B0D364111C44E17E6130DE144C18590000000FF2134000000000000
0000000000001D51442B3ACE345C5FCD87D5B26885631A285A2EB38A209101900000FF3791000000000000000000000000B7
4BBA61D93083E44E9B3A66D9A6C3E3CBC78D88EC38B78DBA1A8628000000FF49D70000000000000000000000039046B19A0D
526836AC9E5503D17CE60EACD0E15C5751EA0A20000000FF61050000000000000000000000005B679394281E8B2E879B30EF
121F17864788E43896492EA1BA88000000FF50C40000000000000000000000002224904807D64020E410000000FFB01A0000
000000000000000000012538B100A02040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000E59A7D68E572E8342C6B61E1B20F0982F08136118C9394930E07A4503200000FFBE8F0000
00000000000000000029A0DA326C946544CF291867CC31193685676564870507200000FF615C000000000000000000000000
DE9A5146C9A0F521C298A628A615C98CD9336F92B789A242CD00900000FFDCCC00000000000000000000000961461B44D164
2B61D0B0E49B2722CA4A52510505200000FFBBA000000000000000000000000000A00000000000FF54E20000000000000000
000000072108D1D2080123A40308000000FF59B90000000000000000000000014D14610AF124C4F42E2589248C8630D931D1
0AC43888000000FFBC9A00000000602040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0A61300000FFA931000000000000000000000014C2CCA0693258765D186611C618A28709141D08000000FF
EA2D00000000000000000000000196426C19C8721B550C5A50BE6924D130CB8A226102900000FFB165000000000000000000
000001BF26DA9B16D0B160F64D9F07CAF81E9A0DC92689E464305D931288000000FF6A690000000000000000000000009136
A0E512FA3D40B2C8A78F2656D2E85D1E346A5101900000FF2D7000000000000000000000000C8672D9136F9B42B05C4F82B1
7C8C0E63C945C4C9EF5888000000FF9FB000000000000000000000000086C43CA150BE450ACB72374D16A4544F924688A25D
1022300000FF4837000000000000E02040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3B265AC4544C9161B04500400000FF5A3800000000000000000000000252513950A3709B0D86E8B20B1E31
F2B22D2840000000FF217A0000000000000000000000395283A372A1E85CAC9AB31E9366924E924504964F13C0E144029000
00FF1E5D000000000000000000000009E54BC5D438ED07E4BB30ED95945C5B54CD04E1A37838A8384FC908000000FF898F00
000000000000000000000048E5F0668D8C907941461321C5642CB4A8342066900000FFAC2400000000000000000000001483
08B781A5629859475C361790787A4142C17D456207200000FFA06B000000000000000000000001CE2E507946350E33258FE2
6187F4B27492F1C3E847330E2400102040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (949428000000FF6A53000000000000000000000000B6937E848B2926B24AF43E5114C2D28BAED294280000
00FFBDF70000000000000000000000002CB4A072450179487CBEA34D16C38B7495390D10EC28000000FF0623000000000000
00000000000C9A3602CA159B2A196144165454919428000000FF89B1000000000000000000000000594882D2324A8690A232
859428000000FF53EF000000000000000000000001C394882D2141F3B2A1A4D1D20C20B28500400000FFEC7B000000000000
0000000000018B0E2D928BDEACCD3AA20BCB098B4E22C289F0E0B8D27C28000000FF961A000000000000000000000002A3F4
B90F8E06C38BF219B1DE28876600902040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000000000061D98703249E2CBF069651256A1E06D6136C9141F1A4DA8628000000FFD638
000000000000000000000002B28408B2859431B2148650B2859428000000FF8CE000000000000000000000000123A483F268
E5A587D6231517A45226D18B74BBCE207C28000000FF9CA10000000000000000000000021A49182CA18E50B48D3D3ACD970F
9250A064100000FFE8A00000000000000000000000010D284149B0CC16541F2C8A0B288E807C28000000FFEE4E0000000000
000000000000091D248F93468DC8F6CB0398613926684E24F0322641D85002E00000FF23CF000000000000000000000000B2
8205E696A48AE4584D93627F6100502040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8990E2582E6181E31C0F0AD106200000FF0BB1000000000000000000000032B1A24313986092640490215A
269288242601200000FF94FB0000000000000000000000093A2D8186324FD14C10BCF3659A517269B30382B8796488000000
FFDCDF000000000000000000000009C79271D0FD227CDA0BC24923050B33A549208EB0000000FF5D01000000000000000000
000000107A79A0D924C9B92C7C88929AC1224408000000FFE4A6000000000000000000000000C3B241C90A04502C9A28C21E
F0716C2A360A470DC228000000FFDD1E0000000000000000000000090DB352E2384144DA386F924330AD3302EA23C5E923DD
2EDD41E583C501200000FF54B600D02040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (DE113C33052B0DF941C92AF49389C460E264B530000000FF73AA00000000000000000000003213B2E529E3
0988FCFAE41C426109FCF38F20000000FF137E000000000000000000000000CA2AB351AACA24FB0BBE986618A8246919608A
F0000000FF704F00000000000000000000000EB303B4605A3649368D965A70AF974090F2C47D7EA0B4728288000000FF70FC
000000000000000000000001C920188E2304E61894C9E419A4709938C4640E01200000FFCC64000000000000000000000000
6AE5A0DA719316C1865A0F8B16F51423B2689A862A3688B2C228000000FF8C6C00000000000000000000001C8C753F924D23
48CC743C2F32D914CE323D61F200302040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (800000FF4830000000000000000000000004BC8A1DD22A36CA161D8596C941D0A0F485971143B428844000
00FF6F5F000000000000000000000000240915C47A1A21BE3E86608311804127103C944608000000FFC46A00000000000000
00000000001E9589E8B2D59A45642896498A06D16F923C9B90D16428000000FF31B500000000000000000000000312235D46
49F3CC4709B8671C26292E559DD154A192613E88000000FFA9E6000000000000000000000000E80213A224106165CB1A0F13
A040000000FF4AB500000000000000000000000181BBED46E9148C110F8C46A582541C6AF1D1D0000000FF498A0000000000
00000000000005ED5C3750F53000B02040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000148A6B2C0CBB741999786D131C7CA2298562D2E8B2B228000000FF0527000000000000000000
00000031375429DA862CB648B293EC215A34DE341D268B0E4D8544000000FF8AD00000000000000000000000000586902C8A
0986CA4669825824F3CC2CB0E6199C0C000000FFD10F0000000000000000000000320CF914966118714C4702089D24C20A59
9088400000FFA4A8000000000000000000000062078C66181EA0C8F65903C6181FC92703A26BE1E30E472BCC303C18800000
FF1E09000000000000000000000000009492711262A69000800000FFB6B6000000000000000000000005212C9459506C91F7
1A0D9186C493648FA8A285910000702040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFD1230000000000000000000000035F4BF5452A69764F17484F689A4CAB0E490FCD28DB57EA28000000FF
44250000000000000000000000025B1A5B0DA16CA76C8A65A47419C390392788ACBA28000000FF8960000000000000000000
000001AB249EA295E5E527D14E9B54C2D51A59159324F8BAEC28000000FF1A22000000000000000000000031E69C4DA42A37
A8B281E2A1648C46514E19859468000000FFD5430000000000000000000000E41D856489C7661CD350C588CAFA58F27ADA4E
C9CA198ACAA628000000FF899A000000000000000000000062079C303E9C1C1F640FA2E1A9E7272C1FA5C30FC4BF0C4FA597
04800000FFCE0200000000000000F02040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF4C2600000000000000000000000006663D130B2A176CB6B433432913C4D23E650B889668000000FF
5E290000000000000000000000029070394C9C768982EA9F22AA649A47486689E8624E52610228000000FF22950000000000
0000000000000096C2CA15130B4E2C3D3CDAE52A97C3877C8B485E28000000FFE8850000000000000000000000057DD55165
54DA49069D6DA4974E9452D2C9937ADB59A228000000FF653D0000000000000000000000063CCA365234A26C392708BADC2E
D3CD289866C8A6138B488E28000000FFBD8F000000000000000000000055E942D5316555C554C4742691F270DB28D2A5B261
A46618668BB2EA981E2800000000082040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1488B4936D66AF17C9F228000000FF502E00000000000000000000000748CA5266132170B2C9D2E1D9C46D
855268000000FFC24D00000000000000000000003361ABC5D378A1759BBC5D46AFB11A22D2AF0E85897ED46AF1E198662800
0000FF1AA10000000000000000000000000B95725C5B55C1750CD7066ABC21DC26CAA9DAA82E0BD2ABB2E9198628000000FF
4F48000000000000000000000000A2D819591690A8D89EC98C98968A90B4C228000000FFDE8F000000000000000000000003
254D44F5B49B24D64D5E1E1330ED2B0A1649B578BA0C28000000FF3D00000000000000000000000000E51422988EC38B6521
5130BC5A7987105C2DA122280000882040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000013CA30DB916C84D81EA4B2648D93A42E30D328529000000FFBD0F00000000000000000000002994
2BA0E567196191F144D0EDC389F9E111DD384E5828000000FFC947000000000000000000000000109D916D0B68C1F641F95D
186631C21DB8789C0F09B41C67D5E308BC8529000000FFDE410000000000000000000000092944383E0A3894A1A6C2D2B446
50B28504000000FFA51C00000000000000000000000CC76149B45195116935B7260B897C9E0781BA0B489668000000FF3B0C
000000000000000000000002362DC5B268309A50CACC348514C171690A2FA228000000FFC611000000000000000000000009
5536546F5AEC2BE2EB3578B95D00482040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B49850CD10000000FFF19D0000000000000000000000001214A41845120A2F412A71A4509608000000FFCC
CF0000000000000000000000062C450EF032174C9A40F12F11461C5B85D186E181C9B0AD44800000FF59F900000000000000
00000000023D146C0793623A137703950BE3D1E45948A36665E58724000000FF6C8D00000000000000000000000095439764
36022168E17418A6C9876167BC450D24000000FF9814000000000000000000000007295EB165452A46686685A0F0DA1336CB
29ACD9368C38000000FF3F78000000000000000000000000B0D82199370B6650F8B32C4B15911D87A4E1887269B30E44D880
0000FF8609000000000000000000C82040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (BAEC0F45524D03C8A76AD06578B948764D9778BA2C28000000FF826000000000000000000000000C472634
E3CB9C447A40E223BD05750E10000000FF313C00000000000000000000000057955765D5F38E1DA8EA1A76ABDB09AAF6F2AB
B2EABA96E1CAABAD75D98708000000FFF53100000000000000000000000CFAAD1755AB41FAED1755AB45A1644AD17AAA43B2
AD06C86688000000FFD2330000000000000000000000004BA7265B28B225820893963CB9745208000000FF4C7A0000000000
000000000000032A1AB45D57A926D59325D56D7D6ED12B47EBA94B77DA2F90000000FFB3E2000000000000000000000008E4
D85E447169A4595138710E521900282040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7C136512D4797179D534DEDD2D1764C5F86D16C5387988C504000000FF9AAD000000000000000000000004
856EEACA2A82EEB15A2E856138274EBF6A3AAFD4753130000000FF2ABF00000000000000000000000329103F915924567121
D3BD51657C98B70BA158962828000000FF0FBF00000000000000000000000073E800B98601583BC8000000FF035000000000
000000000000000238005CF21982C8630239081F48000000FF2502000000000000000000000000249D5CA348212EC7260F84
03CF2A7164909608000000FFF59C000000000000000000000001CA2C44C4642C44C3214312E23A0C2510000000FF2F760100
0000000000000000000066526C00A82040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000CCB307927E965C5BE69B6D1368E125930662C309269B3C828000000FF79F40000000000000000000000
04AB650A50C5971B5AA28A2C98951028000000FFF17F000000000000000000000000A014000140000000FFE2B80000000000
0000000000000293460173474890084140000000FFBDAE00000000000000000000000049910E07E28A164F2C91660721F550
C13C288964C2789228000000FFA6D9000000000000000000000014A4649B30C209285B28BA24C58E0719C2683B221CC80000
00FF1C290000000000000000000000009644730FDA5103C2730FDE6976473A0C185CB3648B291D16484E88000000FF2F0800
00000000000000000000E5485000682040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C3E20000000000000000000000195974B3E4784EC393E28B0D90F0FACA2646F126E4B24F03A59312280000
00FFF6980000000000000000000000195068B5C454FC2FE65C0C56433340BE21E930E4A228000000FF767200000000000000
000000000CA87CB2E3E514C113CD18B623DA04914C9A51BA592228000000FF51A700000000000000000000000019303E9165
0BED42C2B61E50A48D984D1C5DC4F90500100000FF303D000000000000000000000003271C362C26B47B0A1D82E85A345C28
A1EA1A24592587C428000000FFEB670000000000000000000000005224C2C3649A1B4507446CA07C1C459C450507200000FF
6220000000000000000000000000E82040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CC761790AC63A6CF19B728B4C8E69AB6159B64D0A628000000FFEC9B0000000000000000000000010BC0DC
347E9778F1341D936457145B222982E91A2FEB1E07C22828000000FF955D00000000000000000000000C90DD2C9A27492C9A
386C88670C34F2282828000000FFCC0D00000000000000000000000012671E903C526D91650B285A5D465420B85056280000
00FF2B9C0000000000000000000000004E49A2A5E1F26F3ECA8383F4C229B2883FCC30CA6828000000FF2192000000000000
00000000000189116936728594285614CF269DA1026828000000FF4079000000000000000000000000CE2A416CC096C8B258
5A5D19374C32851228000000FF00182040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (41849BF6125D1B69B0661DD22828000000FFB4D5000000000000000000000002CA169A45942B2845B25232
F2942828000000FFC057000000000000000000000002CA5216925232A1A428942828000000FFC11F00000000000000000000
000A0F85A4D18E1CA0B87283082E1690B2850500400000FF0F7400000000000000000000003464DC3CD28B0F0B2948A36CC3
2F22A26DD1BD4DE428000000FF82B200000000000000000000003169E584C554C4FB44751D8F26C37145B0DBA45E4283F118
A04500400000FFB9730000000000000000000000086C0FE4E526D0EC283E66E5E4D448CA0BF872922828000000FF1E1E0000
0000000000000000000244707C00982040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8B2841F0B2850228000000FFA6460000000000000000000000E4E18B7038B2D22B38B4749A9D22C285B6E8
DF214CE428000000FF8B58000000000000000000000002CA5268D96549924C13410450DD0D2028000000FF93B50000000000
00000000000000D183E26538E72599D14C28282D4170A0A0E4039000FF161F000000000000000000000005942D2B468DD310
F48288168C14C17645E428000000FF6693000000000000000000000000B29298797DE59049356E0741E9A5188C282E1790A0
E4000800FF8E0D000000000000000000000001C386C2CA64E50AC8C65DCA86923499A42828000000FF376000000000000000
000000000058EC188E90B2C86D00582040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000072D59E515643440F58D6A3AAB8D1644C365AD2028000000FF9BC400000000000000000000
0006341D22E0615B2A4524472413C3CCBA1A26A70338C53208000000FF8A7F00000000000000000000000119B5067411404E
50D274E0718C6B10000000FFB0600000000000000000000000446D18B661E53270DF2C3CA91B0B445C491936158A49C24228
000000FFE4C9000000000000000000000002763D3B81C334ECDBA368C468DD8E4E8789F6606934F3774D72410228000000FF
03BF00000000000000000000000507D1436A8A1992CCA644D8A20795E8CA43E5C0C55268000000FF7BAC0000000000000000
00000005A394282E08B485A50800D82040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000CE2EFA4ABE83BF6A332892622CA263A232CC12A08000000FF7101000000000000
00000000000068C5B84DDD4168C2B45A36683742B8ACA77A88760D660F850228000000FF3911000000000000000000000039
84124113818945703A0725988E07456490EC80000000FF553B00000000000000000000000050EC5764E9B05879553851B24C
59543161459344D963E526168628000000FFBD2500000000000000000000000E383D130D914CCB660733C2F26E333D9B0E27
12EA703D2330D4F851E488000000FF80350000000000000000000000010727C388F9A427E518EE4384D8E364C23381E48248
1720000000FFFAC5000000000000382040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C0C19E209291E8615B32389042B51D9010000000FFC9C00000000000000000000000006A49A2C82285689B
0FA91F5419929E5E45F42828000000FFAB73000000000000000000000003209315A2AC3FCC4709C2AFB08B6186C98EAB1741
2A08000000FF2836000000000000000000000002229CCC0F08C824A20564A4EC8900000000FF231F00000000000000000000
00007E215C5643AA0A2E8321ABE9164498968AA0A2A83CAA08000000FF40120000000000000000000000002F86570DCAB929
188E1BA876186781C8E13B07A418433C0F473570000000FF9106000000000000000000000000C4878521B1C613C7908E23F3
8F289C15F900000000FFA4AC0000B82040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2F1032A3E908000000FFC88D000000000000000000000011184E2D07CF28856C7C229B43869C49366430AF
D742D060000000FF103700000000000000000000000E5143C5A4C344E9645850AA6004122920000000FFAD13000000000000
0000000000072CE2C8C303C891E838235B0F69B207D0E138C321C590C0F865E488000000FFEB120000000000000000000000
00246284906309302027506108000000FF5D28000000000000000000000000C2D0F459126C8A68EB0A2A5A351C8645922CE2
8888000000FF80C5000000000000000000000001485B0B2C30CAC0C5BE459428C3A36918B4D90BC508000000FF0688000000
0000000000000000024905118900782040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFDEAB000000000000000000000000549485D49329144B0BC43285050308000000FFB102000000000000
00000000000AFB59920856AF1E3D93079166D154CA1A69C3F337412A68000000FFBB4B000000000000000000000000534B26
C987669161A4590C491386D312E1A69028000000FFEB65000000000000000000000000CC22E36449B84588F1A0D902C78B22
442908000000FF28FF00000000000000000000001CB167112EB72247A1ECA950D9441E4E1F288AE2F8521D08000000FFC0B7
000000000000000000000004BE590ACBF21E9C79A549945DC320E14D858F703908000000FF76380000000000000000000000
1E85246882BA93A351B26190B400F82040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (BA455288000000FF095D0000000000000000000000025A4C8174AE9076165E5DA71E2E944F036428880000
00FF567900000000000000000000001285950CA88B0DA2E838B6AA34A262EB78B474D22888000000FF3C8300000000000000
00000000015F6BB77DACD5C4E527D26C9B49B3874987961B6AB74D557418000000FFB71B0000000000000000000000254587
26CD61165C61C8CD1847861BE4514C2F20000000FF93B200000000000000000000003955C1756CD705D5355F90AC47A72329
D1746D14694CF1356C4F65D4353C5E5570000000FFE38A00000000000000000000002BED6615F1629ABC5CA15F16E91E2B2A
82D50B4EDD6AD954D2B818000000042040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (AE6951C5A8D14264496717053268000000FF5C31000000000000000000000001926C2BE2C944D26DDE5C9B
51ED94CB669578B2CF5861B54D2A28000000FFF6860000000000000000000000000BC2ABF2A1AA6C9B26BB29D993A4534694
4E93A0D23149B53C528628000000FF00210000000000000000000000732A22D06C8B0D850ED9169E40A3262D857228000000
FFB30C00000000000000000000000C9364F26CAA87936E9B2CA2A3CA230F8B951165B74D2288000000FF7F61000000000000
000000000004AE59606CD2D6F914145D184E914DB72748563418000000FFFD5B0000000000000000000000023B24D9352DC8
76B6550ED2491692587A42B84C00842040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (08000000FFF99C000000000000000000000001480F92C3857889BD41A55479051185642908000000FF835E
000000000000000000000018923984F687E70E1B38618D4EA2B9979A4505E508000000FF49B500000000000000000000000E
58B1653B0ACA24D203EE698716866A9688BC8308000000FFC1F50000000000000000000000004828762BB1E95D2292A1EA1D
926C9B2538B2F4D22908000000FFD145000000000000000000000001CCA49F24D82E1CB0B03E51044746930E2D8505730800
0000FF0B5200000000000000000000000064FB278B94C364C5B0CF8B95921DDB29578F26CCF35A374D2B08000000FF5EB000
0000000000000000000001206A00442040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (99850000000000000000000000054708A4B9259170314D988D039944619D24B22B10000000FFC684000000
00000000000000001488ED1E061449B2649B0318B226C0EC2104000000FF53400000000000000000000000014A2C75149324
118F05E2B1E4332CE942D43108000000FF4A7F00000000000000000000000A4934B8B0FEA07A0D08944CC21DB9A429080000
00FFBE6000000000000000000000000052163B1AC516C2C3CA170D89669283A0E2D94DA22908000000FF5DFF000000000000
0000000000001C690ACD451150B34D93A40F231471B32D1314F10838000000FFA4CE0000000000000000000000012A4090E1
65752A169404ED2A5E06A1A2E500C42040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D5F8D0B0AFE3675448FC510C386F5142B74D2A68000000FF766D000000000000000000000001C84D0E2418
588229E24817989480000000FF364E000000000000000000000014C26ABB262FAAEC3CAA7A2E9DAA6E78774B2615C5A513E4
CBB55E12AE28000000FF8A4300000000000000000000000C896AD1615AB55B215D1745E5741FACA2449752C8F23D576836B4
18000000FF613F000000000000000000000006189E4C9239251441220BA8648100000000FF18330000000000000000000000
085936595A2DCB24165146D41C87228B28BD74119708000000FFDBC10000000000000000000000534D2C3936528B2619F2A5
338413D24981842B90000000FF00242040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C9BD4EDC6D37154345C546CB36CD1B42A61700029000FF6D04000000000000000000000025921E8365D441
84EF8AEC3A0D990D587C1D64B88DD12EA3748B2056000000FF65F20000000000000000000000018A522C9AF03F561D96BEE0
7419864B8BAAA65A36154D2080019000FF840600000000000000000000000010410C8719C35180B3E80008000000FF8CA500
0000000000000000000000B8018D9C5A30AC12000E400000FFC3AE00000000000000000000000151A24926523858184ECC46
0413924E2CD07480000000FFE25A0000000000000000000000029A9E4877252489667490BA51E400000000FF28DC00100000
00000000000000000C6526CF4600A42040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6D43049FA597849714E512DB34AD0F65D2305B346C57848980000000FFD0A9000000000000000000000000
26C28761448A85A107114C8A1DA150C09604000000FFF17F000000000000000000000000A014000140000000FF7E2B000000
0000000000000000005A44D270C0A9018F2140000000FF1C440000000000000000000000003221C4B42D1D46512CD9848712
8FA25D278B309E20000000FFFDCA0000000000000000000000C6984C80828782F080AE922725E30B03216414C0200000FF60
AD000000000000000000000000CAEE8E16C9C745E2889621D6BE511261B2CD0607A9745920000000FF98DE00000000000000
0000000031061B0B299795134B00642040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000087738D8BC7E36299A1DE2E1E372C2918A62853142F4F2E2C3E20000000FFC0F10000
00000000000000000008F2940FC1E8EDB24C116C98652161A05C516D9140000000FFF3050000000000000000000000073CB2
8D8AD98747D0FC50BC50766964DCB2665870CC534460000000FF387300000000000000000000003907788A9F8962CAE2D0F2
170D22D060B8B89A314D8A90000000FFCB4100000000000000000000000026E916514ED646368C5B261C6E638539B462D839
982804000000FFF01B00000000000000000000001CA5441971168BD4CFB087741E161588F693E15A868EAD1890000000FF66
1400000000000000000000000100E42040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8915973260F8597970C0000000FF5B6000000000000000000000000620CA88B282C3EA85B8615856D189FC
8A4A27F9CA13B46A9C2D9A90000000FF2421000000000000000000000004A236E1D9C42765231714AC594F1165F12CDA42B1
40000000FF8CA50000000000000000000000E414A149B81C586D2364CD34459758612451A3979140000000FFB84A00000000
00000000000000087D074363D8B2B20796661EC390F8716E1BE1C2BB870D822808000000FFFC950000000000000000000000
03994C3CA159F45486E1A1634E2F130F28A62B90000000FF394800000000000000000000000CCA07D329A36404141C90B2AD
256CA140000000FFD1AB00000000142040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (F2990C50EC8CBC8B2BA3946828000000FFED090000000000000000000000019136165432BA2A3614D410B2
85942828000000FFAA16000000000000000000000001485A4650CA4690B4A1A42828000000FF1FAF00000000000000000000
00094114170A0A0A3A0B29C3A42828000000FF10F9000000000000000000000022022154C270DA116C8D348B09E3818B68B2
64B228000000FF38FD00000000000000000000000458A554C5C4C3370350DC30EC6A3C966A1A36CA858A4F826828000000FF
44C800000000000000000000000E7946C2F34A71B29C39453E8D1C6D722C37A8B2826920000000FFB2770000000000000000
00000014DA0EA5D16548FD86C200942040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000290B2A1A42CA4650510594282828000000FF3D02000000000000000000000029C513C4597921D0
F84564EE208A0A0F9C305F9028000000FFCEF600000000000000000000000524A8A247F24B24652264594E1D2028000000FF
630600000000000000000000000038D24A449252288E8364628BFE8B2C0E2828000000FF1046000000000000000000000031
A42CB0A38F2F1CA38E5C512A628B748B282E1828000000FF1ABD0000000000000000000000000A5424DB102A7944170B29A3
07DE1828000000FF557D0000000000000000000000390E18B2A19491512523A41B411A0F8D942828000000FF61F100000000
0000000000000001883E4AC1C900542040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (08000000FFA7DD000000000000000000000006417A2D930D16513221FD151E48B232C18431A288000000FF
5F0000000000000000000000000014E9C452EC1A490B8A86C8678C2C85686CC38C3808000000FF58BF000000000000000000
0000072EB2C3E21B8388D4490AC82441D86EC9A2E4C0000000FF57CC000000000000000000000052CD248B0DAD26C163E2B2
4E836417140B0F2C2293CB308228000000FF018F0000000000000000000000001992719C469DC8ED9E36E931DE47D1B38BB4
648DA316E4369C474613C428000000FF10D400000000000000000000000E453330DA27086EF75127619152C3CAB512452268
000000FF786E0000000000000000D42040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (047C0F1E429F2C79631182E58F20000000FFFC7C00000000000000000000000335193E95F610AE2B459658
955FA6191EC9D040000000FF3576000000000000000000000004A0BAC82EEB08A25D0EE89719BA346C9D27CE1828000000FF
06AD0000000000000000000000095161398609F1120C864E0741C461321C871384EC80000000FFF724000000000000000000
0000005C33C459447B45B05070EC9364E541C5426C3CBA8B8D91330C28000000FFE6430000000000000000000000053881F4
D8727D166181E25986079361D1E29986E4C303F924C0F21C87E488000000FFCDE90000000000000000000000243049216483
399281C33024F20B9207186A3100342040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C24DE30D20000000FFFB64000000000000000000000062C2C3730C143E8678824E06092049C44F22400000
00FF0288000000000000000000000000B291644385688A8D9112C882C3661D96459410000000FFB37C000000000000000000
000000166A32412B15890643560F2A095F51AAE4B38DD040000000FFBDC8000000000000000000000003891009D90C30410C
589100000000FF6E50000000000000000000000000B351AB09D4EAC974186707A2A82EAA095F48757DA0CB3040000000FF41
B30000000000000000000000005D4395C3750F570CC151C3748FD0AD43C5C9E55705D0744C3390F4F240000000FFD2310000
0000000000000000000118F96200B42040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000016511ED56C53A4111D8F44C3659A4C3057C3EAC9B2B96490000000FF49350000
0000000000000000000442907E464C49F2A1E3C84F136CDA0AC5C710000000FFEE4500000000000000000000000032C3CA8A
165981E282B058E26646DD22887140000000FF4E5000000000000000000000000728996C8935BC4B30C0F244712C49FA24F9
24C303C2750C0F27103C52000000FF8CEA000000000000000000000002904488B2721C821100000000FF0C2D000000000000
0000000000008EACF243B291A285A0E8B219A2C92A88A174A2CA0E8B20000000FFA3DC00000000000000000000000059428B
6CDA1D8576D3C8B28D09628A7800742040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (49351B42F8734D534AF175FABC5A76A9B2AA08000000FF1C65000000000000000000000002CB491B21685A
3CA762390E69850594A42810000000FFA5B6000000000000000000000005955F49B30F51AC3383D938C5A5504AF1755ABED2
E82CAA08000000FF7EC50000000000000000000000327285945702145B6B8992305642AA7480000000FF3375000000000000
00000000000C39B661C5B0A8A162D36078A1980B2987A42CA0000000FF478E00000000000000000000000E4E1CA03E8A87C9
278B2C9B482BE4E183E14C1F14DC313C32000000FF81010000000000000000000000002A36483281FA8AA82619303A8625B8
E285F494F650000000FF70620000F42040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000002CA898B29A3AA36162B05D8F46D271416586D14C2D20000000FFB2160000000000000000000000
18736D9E453E48746D10A661A25D88E91D2165042DB22D20000000FF746400000000000000000000000193951149B438D2C9
936E2E1BA40B2987A42CA0000000FFF2250000000000000000000000187949F653AD0B120C36C92728B8D2AF175DABE926E9
ACAA08000000FFB8D4000000000000000000000000596C2CBCB0F0C2C9896B65120B2987942B20000000FFD69B0000000000
00000000000001934ECC705C5B45D87C457A6542D19453A0E955D9756D57A75355C503D570000000FFCBF400000000000000
0000000002D26D33E2EBB24ED6000C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FFD2BC000000000000000000000002CAC8C83B2C3E6DA515216573485A6C28000000FFDDAB000000
000000000000000002BC5D76AF1691ABE93659E064D84E85B2C82578B8ED5E2EB374D8CC28000000FF31E900000000000000
00000000015765D5B31C53D0D47A49B53E92A6C3A661BA955D9756D57A4DB53ED0AC28000000FFA312000000000000000000
0000002CA61C43642E564512F102A3616564526C28000000FFE53800000000000000000000000578BAEC9E2D110E1D8566CA
88B2852BC5D7649B4DABC59760000000FF0873000000000000000000000000B2815D186E1A493C5116E91690B2D2942FA000
0000FF28480000000000000000008C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000120B286D8470394941E1498CC112A46428000000FF498900000000000000000000000621A2D89D
85250A118E964527184E525245E428000000FFD146000000000000000000000007461D8C6E183F0B464F30CA09D9245E426D
964E5245A428000000FFA66A000000000000000000000001C3958D208A4616205F102F491B0CD2F22828000000FF01680000
0000000000000000000068E544C594D9148A2E8DF30C269384B08B2B2CB828000000FFBC6F00000000000000000000000165
624984EE18284912D2324E9520A5A528534C28000000FF2B7F000000000000000000000015E2EBB27ABA51B4E30AF0716C56
3D2B8457DAEC9E2EBB77936428004C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000001A39427161B42C6985F9144A14140000000FF353100000000000000000000000011317164
918750C0E2047136CB0E4B36A860000000FFC6970000000000000000000000059716AE5C0C90570E87C84F0A0A2593249428
000000FF646A0000000000000000000000059431A3629883C222785C3C3A2238B892601E9428000000FFE3C6000000000000
000000000002CA13648B091B86DDB126319A1B2B20566C28000000FF1BA1000000000000000000000002CA165845442BC0E5
8598AC931683327299301E6828000000FFD4B6000000000000000000000001C397172E544C861742752158B130D9314C0228
000000FF39570000000000000000CC2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000001CABE5D7615D17AA2C797615D06858C323EAB4447EB1593C5936EF0ECAA68000000FFCE6C00
00000000000000000000000E263C89040BA106105C81869E4620000000FFB5330000000000000000000000055DB756D4774D
5F55DD346D5764E1B45C536D5714A9B2EAD89E89EB6ABC2AFAAE28000000FFCB0B0000000000000000000000568BAAC2BA2E
AB568BD55A0D0BC4870AEABA0CAEB159345B2AD1685AB418000000FF184400000000000000000000000390CE303892427103
C721114908000000FFD0D100000000000000000000000568BAAD23A2E8F45938D5A2C4B26C93D5545AEB15B4785B86EC0FEA
B418000000FFB6E40000000000002C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0B285954765E50CD8F26C4F45CC355C5D6E948C5B54F1346D430000000FF948F0000000000000000000000
3225BA88381A209566A768C6B29FAB92E83562BA8D5649564BA8C2B0000000FF702800000000000000000000001434653AC9
D64934FDA587644768EA3C3D1D69145B75B326CC30000000FF7D2200000000000000000000000011049507119D4249D2C821
3E224040000000FF206C00000000000000000000000391208A6034810103C090499C40000000FFC7BA000000000000000000
00000024888E23B24207E2A1C478809D084D3494E0000000FF47E400000000000000000000000390027C4111A690793210B0
2020000000FF6DF3000000000000AC2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000A0F9AE4C86245B10E43A8E2CAABC2C82ED1872A710000000FFE28F0000000000
00000000000001650A732E341E264D3B32E4E2296C2520000000FF64B8000000000000000000000000140004A14140000000
FF72FC00000000000000000000001082F2005C481844A14140000000FF8DAD00000000000000000000000145910EC9164937
5923A9111659BC2240000000FF9FEE0000000000000000000000A69761A589C26C4ED464E2A381F08570BA4F19B10AD934C3
30000000FF680F0000000000000000000000010FE8AEAB2CA2C8D766525C0FA51428B30D0745A0E43B20000000FF98100000
0000000000000000000143161B006C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (61E65A45A610000000FFE4BB00000000000000000000000046512C59757E7E1376CD84D93169941E694B28
B2F993A690000000FFDC8100000000000000000000000016524D0D9E3A41A8C9334E4984F4B1045BC52987A490000000FF6C
5A00000000000000000000000232E471386E1690AAD82EF1A2F0A1611CC41BA9A750000000FFB39B00000000000000000000
0005943714170B49351A8E838B49D268470164E92613A490000000FF2910000000000000000000000003921E50ACAA60EF16
8E1B18D9F0EC63B623A4517E168D184D879710000000FF4FBA000000000000000000000000B2A2EAC1436CDB4634F2A22991
6563CE2C9CA0000000FFA4860000EC2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (62A56451CC43D264B672FA44CD1CAA65F5A794C8000000FFA4000000000000000000000000073039272856
71A697262DA3F1C364E874F22C3EABB0E699CF0ECB30000000FF531A000000000000000000000011940B2881E8DD9E61A466
1E51309A162A3F082899A39590000000FF2AF60000000000000000000000008CA169327AE1693CB838B098544D94A151BC45
9590000000FF8B3F000000000000000000000000761B2984D648683E4DB318C50CD2323F0932922CA0000000FFB06C000000
00000000000000000C3CA438A48725938187614330507249C41F81D93942C260000000FF21EB000000000000000000000000
6C37CB11907916F1E2E9854829001C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (94E183E16524D9588BE140000000FF3F630000000000000000000000049D2165432F283CA1650B485942C3
6140000000FFE47700000000000000000000000111291942CA1652349294856488000000FF8ECD0000000000000000000000
00C305C2CA4650A0F85948D248E50B49A39428000000FF619300000000000000000000006A252822CA153B09DA794282EE65
4AD1D85F4498000000FF534500000000000000000000007243D385B264DC97C98B7CB270DB2297E298BEC4E07CF9A3E49B27
299628000000FF0BBA00000000000000000000000C3942BB49B1B48505D0B66CBBE40922CBCB572A22BB60000000FF8BC500
000000000000000000000061C3009C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (76505C3A4882CA1470C0000000FFFAE20000000000000000000000E487942D289C32F24383E1591C8A3474
8C9288000000FFDDAB00000000000000000000001194102E58E585195174D905904178452C40000000FF287D000000000000
0000000000022C929A3941746588DA393FD04EB24F8287D115922002010800FF11BC00000000000000000000000459387285
959465C587641712BBD28D9A786E1140000000FFF6E6000000000000000000000022632B1951703F0B261D9179494942CA48
A0E4008800FF358000000000000000000000006B48228764A865945950E2841F0A1D859428000000FF44F200000000000000
000000000283040EC938656523005C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000044CB14D1D6930F0B94C365121CEB6A2207A1220AC88000000FF530800000000000000000000
00004B47481FC992940718408560A30A161DB2E1E0788B69A308000000FF07D50000000000000000000000000C470DA098E0
4F486372A44DD32B8EE1A264C0000000FF7D310000000000000000000000005316174546C988C9B15F0D85A798414E8D0F32
9228000000FFA9DE00000000000000000000002476CE83ABC3726E23F49B04D17D16CE53B4F33E42B4AC0B9122DC86280000
00FFEAD900000000000000000000000A2381DD068C36A9D70D8562A2645144D16ED394E87A0EDC0E10000000FF0200000000
00000000000000000A045948CA00DC2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000AC575193E910CC923C560F446556723260F167E820000000FFF4A20000000000000000000000
0028B309A22583ACA0BB1D82D1B20B4E05A366168D1F65A36488000000FF01E300000000000000000000000128309E194E27
86270CD0704F899E4A07261F7909C49860000000FF61B100000000000000000000005228760B8B289EC9936838A2DA862CBA
9C2A61950BC8E5E610EC28000000FF37D600000000000000000000001CA8679D1EA19A787A2881E15EB07F267AAB2F929CCB
64923C8F50CD703C88000000FF7393000000000000000000000018183E04276064BC324983C3B41DD32533C47C8660FA070A
C0000000FF1CAC000000000000003C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0CC0F11C9027E69240000000FF2667000000000000000000000002146545136C921E5A518ACEB24447544C
512C2B20000000FF2C260000000000000000000000024ECD464FA26D9448705CAFB51A266ACE4711C1E61BBED460000000FF
F4F50000000000000000000000013886199046A04400000000FFF13F000000000000000000000003351857EE28FAE8564558
AEA327D6ACC4615C568BBED460000000FF01A200000000000000000000003570DD43D5C178737C41CCC948F570CD4391C276
671BE32B866A1E8E19D860000000FFF24D0000000000000000000000E44BC79846721C911F947111CE58F223E3CE06E59C40
000000FF58CA0000000000000000BC2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C0C2948760000000FF93C30000000000000000000000000D45434868804661022DB9344C3A20000000FFCC
6800000000000000000000001085055230D1C0CCC34C230D9865245A5161C0000000FF113E00000000000000000000000D4C
7F4C330C4BE4C3646F81F098707A2643F2A2D0F28607A5B32F10000000FF05E50000000000000000000000844A3109326225
F1B2254C20000000FF42DD00000000000000000000008443B30A2C916507D42B10ECA261A874927E91648B20000000FFBEA2
00000000000000000000000322CA165A4526C2CB4DB28504E2CA19C21D90E83CA0000000FF9DD60000000000000000000000
84E237A138188C990E338412CF007C2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000A4E5250B2AA689C8B62384A5D4C854CDD0000000FFC2FE0000000000000000000000015A2C9B
0AFD26D166BBD6DACD5E2E9B21C18F588FA3F6174114D430000000FF337B00000000000000000000000879428B64A44145BA
623426C2151A714EC330000000FFFBC400000000000000000000000A1DC22C36199B288E2D2159C587522CB4B0E2599386D0
70000000FF89B300000000000000000000000E1CA92C5BC5C79543B2E374860B92281E88A0FB143CE3A360000000FF4A5400
000000000000000000000594CCACCB293818570B23192C3A5643EB21F348A710000000FFBEE0000000000000000000000001
61BC4546C9707614B249B278AD00FC2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000009A4CBBA52E26CA13A2C91D9B3A69136CA5A59384D070000000FF255B0000000000000000
0000000087961165A52EA2CA869A41426CB41B0E29854CC330000000FFC9D70000000000000000000000035445B27DAED166
BB5B55AB85936E8A72936E764FA49BBE1D20000000FF8D82000000000000000000000010E1D8546C949A0E50B4924B94650B
09859690000000FFD1B500000000000000000000002A68E36AB82EADA6E0BAB7C92EA1AAF4A2689A944E1716E4705D5355D9
7A40F0000000FF3DEA000000000000000000000002B4593659F171DABC5D77ADB59ABC797649F2D59AEE2BE2C2B578BC4C08
000000FF76ED0000000000000000022040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (780000000000000000000000015D6BB48F8BAEF5D6BB0BC5A4C29B667A34BBC5E7615F1769BBC5D7600000
00FFA7C900000000000000000000000E55725D4B31C1756D53C5D5B54D146D0A16A9C34A8E0BAB6A7D32DAAEC39760000000
FF2DFB00000000000000000000000E51659165E61956D2A9AC911285942CA0000000FF90A50000000000000000000000015A
2EBB278BAED1B6BB46D0ED57865D4532CC764F168DBA6CA0000000FF3B4B0000000000000000000000023292F22CB4A38585
70876169848DC216CC3CA0000000FFF36F0000000000000000000000046542C59433165C4D950763D1BC4D08E8CB48B8DB36
9760000000FFA46E000000000000822040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (62DA0F21E414A49828DA16E19260000000FF0D370000000000000000000000083EA59B248B290B94D5D20A
319828A94A25B2E2DF22D260000000FF1DF50000000000000000000001CEA61D291A5630980F8288A745A274A92EC942C2E0
000000FF6FF70000000000000000000000628D288B2866A553D2DD41C8F44D8184F1650A1DB22D20000000FFCBE600000000
00000000000000000BAC92F30CAE650AC1D930D308A8DA26942CA0000000FF60CC0000000000000000000000028BA2EAB0AF
8BAECC342EBB86116C0BCBAA34D36C7691F174D967C5D760000000FF24C90000000000000000000000008CACA525E48F256C
B7CC32B294A56CA0000000FF1F00422040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000E449CA8B274ABAC37491F091384C88329F27085840CE10000000FF572F000000
00000000000000001186C44C09B661D07894F086689D166185C40F092260000000FF6CA80000000000000000000000023294
8568976E9A43499785A2C8B2A9522CA0000000FF0E4100000000000000000000000724655A29BD86D6877CE074A468921655
1D9F059510000000FF431100000000000000000000000134FD44526D1165A48785D24E5B420E3C9A46078A898978AAE00000
00FF57C60000000000000000000000CA2D7D852B291CB6C8A1983ACB4EAA59350C34D17520000000FF2A9700000000000000
000000005223E81DD2C9876C9D00C22040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4151F926103DC90B188E9220000000FF4DBB00000000000000000000001CAAEC9AB62BB2EADA47CBAB78BA
65DA27C99B6A19BCA8ECBAB6A7A6E9DA8E8BAB60000000FF5F1100000000000000000000002B45AAED16AA17AD1755EACAAA
C56EA20BB45C5659D16CBB45C560000000FF8A4F00000000000000000000000124C4CE241D03E3C8CF2C884520000000FF9C
9600000000000000000000000E55A2D9268BD79D59D487654588A1366AB27D85DF40000000FF3AE100000000000000000000
000AA1B2C3E34F25309C209783B21650B0D22E20000000FFDCD60000000000000000000000E5CA114E82A184E30C33646886
7A5D587242D060000000FFEE4000222040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24D7735A864CDF5192CD46AC87519208000000FF29820000000000000000000000004ECA0FA89E445BA0EA
B649B4CB25128749C56499A07A5ED98760000000FFCD270000000000000000000000000002E49ED467311866079854300000
00FFD5170000000000000000000000025449C7972892040B991133924C40000000FF6DE60000000000000000000000004618
12C50E4330C985F1469E4C72308C80000000FF28AD0000000000000000000000000D2723348204B0429E4900000000FFCD3B
00200000000000000000000015A3D968E8BAAD1D6ABA51675449F84D1336C564F164D967C5D760000000FFEE0F0000000000
000000000001C847322389C71000A22040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6A2158B2F34920000000FF64B8000000000000000000000000140004A14140000000FFA0BE000000000000
000000000005216906102481C4844A1470C0000000FF38BE0000000000000000000000113243BAC887D6145902B051869459
472712E91860000000FF46390000000000000000000003919180F48CC303385C24A99929C1729C9A5148F96208000000FFF6
36000000000000000000000000A967145922478CBF3D4325D8FE49344881E8986CF28B20000000FFF51F0000000000000000
00000001C93693B262F854ED2764E512F9357C47B4151D930ED5744E555D13B6D430000000FF6D7E00000000000000000000
0004453A8DDF6A375CAB2392E900622040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000064951A45F05DA491A278B0F8A872387ECF2C5A396C2B0000000FFCACE0000000000000000000000
128C8968D3691AA69B87A69340CEC384E130AC319A60000000FF2092000000000000000000000000A61B327288746C955127
A3A42B64C13829B460A2E54D10000000FF3DA4000000000000000000000000E483B2685C5689877AB8E4E295B289264C0F69
3F88B0F2C38530000000FF61CC0000000000000000000000072141968341E5A4508E89C5601143B4A4DA276C20000000FF28
9E000000000000000000000000A40C272A4708B664D1B28ECD30E38F864B946D8A10000000FF409300000000000000000000
00016566146CC31494215BA85900E22040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (714C44000000FF98B10000000000000000000000020E03C9653D0E566D56F116904546D90AD1B66DA51000
0000FFF9FD0000000000000000000000052E4D9044DFAD1B20B474C0E89D3CA514C542CF1CA0000000FF71E6000000000000
0000000000021672B842B954741FD11DB7D4C142E91AC146E8314E915931EF10000000FFC3B3000000000000000000000000
42E165B33285550B869C453215CB08AA4D9610000000FF90C5000000000000000000000000188D99694A42EC3E61A79B6905
292C5C5CA0000000FF06320000000000000000000000033938AB5987CA76A18B4E283926198B11D474A3FCBA789936A36000
0000FF5C3A000000000000000000122040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (321650B29285A42CA02D20000000FF5EAE000000000000000000000002CA1590B28507D8CA14170B29A394
2D20000000FFDA4A0000000000000000000000002D1FA5168B268D922ECC9B788B2AD0FF1E6524D16CC4000000FFE6CD0000
0000000000000000001E8BABA689629F0F2A2EE9CA29C470DA0EC9D28BB0F2FAC983F85BC78DD244000000FFAF0800000000
0000000000000006548D6490654452EC2B3650A445CED23165D5939590000000FFFF2000000000000000000000000013A24B
0BB44B46E1755BD1C594A7369059307F133CDA4DE224000000FF064A0000000000000000000000734C22A965362BA17282C7
A7664C1705DF326D0B73E911D800922040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4FA10CCD1CB08A48209063A405200000FFE4C100000000000000000000002164642CAC86F4C476CA298BC9
3EC3748272459400039000FF0530000000000000000000000008590A078594D1D26CC5B04508E0BCA08B21E22CA0000000FF
5EA0000000000000000000000000D1D201E1493A5D714D43165D2C514C4CBE92387280A4000800FF96AA0000000000000000
00000000A2D3C8AC85A5E3161B7470D826D205E1B45C326C2CA0000000FF383000000000000000000000001C364642D20B0E
0FA87A79869122DE46442CA0000000FFBEBB00000000000000000000000AC85642D21652229A1A494C382CA0000000FF57DC
000000000000000000000002CA00522040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5C3080F0EDC7A268C0000000FF2E9900000000000000000000000E45E4232187303A3CA505C548CC9A2000
0000FFE4A30000000000000000000000005942B145B64C5D48C226C33420AC45954309C0000000FF67950000000000000000
0000000168EBB8CC2B26E8368E4BC3DD4AE235613052344168C9062311C0BBA0000000FF0BCC000000000000000000000003
27290D89EC990E65D0B86919660B2E4E1B059A6E2370C0000000FF50C2000000000000000000000002CA1653460A0AC91059
4D1CA140000000FFD74F000000000000000000000000859E45642CA0A474A228F608A538E22CA520000000FFED1B00000000
00000000000000010B218D934600D22040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4EC82EB223B46C82EE3721D46CFAE8175984583C2CA0000000FF51EF000000000000000000000000926132
5099AB8678D24E36384E91C94264A8E420000000FF9A6A0000000000000000000000031E8D8516F168C5B3274E2287708ACD
2C9C36CE066DE29B9360000000FFC9FB00000000000000000000000391860788E65B2899AE07A243A1F09DA687AC3C1D61B3
89AE07C3418960000000FF2C4B000000000000000000000000904124192E3318FD0DE83A4984D240C67C89C6074C60000000
FF04BB0000000000000000000000355714756ACC28856EA22591669460F227E9215C20000000FF67B9000000000000000000
000000BC266E527904CA47526100322040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D22CA0000000FFCF6100000000000000000000000067127DE68B12EA30AFA892E2ACC46D9838BBEA200000
00FFB87B000000000000000000000000240202E88C981C2582C4A0C2B4413E20000000FF851F000000000000000000000030
348C1A0F5F6A350E975583D130D96ACD4711C6E8BBEA20000000FFA360000000000000000000000006F0D9E1BA86A386EA1E
0619C381866A1E0613B130DCC313D21E9F4C20000000FF8D5300000000000000000000000A7CD225938B903F181D884EC79C
6431CD223E20000000FF09FA0000000000000000000000328BBED4749B351E2126CD0608F66A388E2B2B15C460000000FF10
B300000000000000000000000100B22040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000052CD216511C49A30C38E164A112EA291340000000FFF6950000000000000000
00000008B585183C61E5625986078876527A0CE32207D2A1F34E2E7962000000FF8C7F000000000000000000000002142649
E79010AC4376CD06640C60000000FF125E000000000000000000000052CB2464B2510AE9A0D0B126CF2E9AFA49EACA341B20
000000FFE9340000000000000000000000004AB650A8DC22CA238B2E22A9648A4DA1942CA0000000FF74E300000000000000
00000000004934584E918164619E209311C8E4A07251648838C460000000FF3031000000000000000000000001CA1645925A
3659A0F0B22CB24988B20B571D00722040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (03503DFB0EFDAAD5E2D0BC707948F059A611D6CC4695C1E89967ED20000000FFA394000000000000000000
000040F4A22B261F50BAD922B2CA46210B49B30D20000000FF82E50000000000000000000000C40B28516CB342E521E6998F
0B9165845C42D460000000FF3B4A00000000000000000000000000F9C3A5D72D1F21C49D3082B41F08E838C70092000000FF
6692000000000000000000000001958E5AECC98968A1728B6C98613B37885B8D4A9210000000FFDA17000000000000000000
00000005D6C2C2165320A46E182D060B8A41D0B01E24000000FF1DA20000000000000000000000000519C445430496409997
964E83478F6A24000000FFF07E00F22040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000005161590B0DA3087623C32B30D22370DA4DD22CA0000000FF7A4F0000000000000000
0000002B07A164FA65ABC79E689E164D91F6CA8A87491ECC7715830DBB459360000000FF0C3500000000000000000000001C
99845642CA07C32922B3CA46020F993942A360000000FF362E000000000000000000000000ABD38AAF4E16ABB2689B13CED4
3A54B8703A55305CDB31C2DA8E49A760000000FF1E69000000000000000000000000CB665278BAAD5E3C33850B2CF543D530
E16ACD76199A1DBBC5CB60000000FFE90F000000000000000000000025190B4E22D34F2C8962B24ACB34DB28C360000000FF
810C0000000000000000000000000A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (EB6D76EF1759AB859760000000FF13F3000000000000000000000000CC86A786EA5AAEC9C4657A2688A7CB
AB6198B4AA6CB8B627D2ADAAF4A860000000FF162C000000000000000000000000330B214851BE6116C2B1365645950CA000
0000FF1DD5000000000000000000000032C93C5D56A9BAB28585642C9B46111F6E764F1F2BBC5CA0000000FFFDD400000000
000000000000000092E132144B43AB87943336130B2A26948CA0000000FF2EEF00000000000000000000000D591595BE987C
3CA598A2DA1A427149B0B59B22DA60000000FFE8030000000000000000000000018A268AC2B219C30D98736C4748E909C594
14C8C660000000FF26E7000000008A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000003913C9B05D430BD2375E523E19489A370DB0E250B289685CA0000000FFD98900000000
000000000000000158A18564F472A18521C5E16912E994950B20000000FFB3A5000000000000000000000018C30896149B20
E2CC5A2CC9B92370B0F2D2838560000000FFEAC80000000000000000000000726543F41145B424D8D13D0D24A5642DC30B20
000000FF590F00000000000000000000000065148F0FD55E3E1B570F0ECC7B2AE074EACC764F164D93879360000000FF0DBF
000000000000000000000000444B21614321C22CA43B0F485951524260000000FFAFD000000000000000000000000CD864E1
6AABC5BAAFA2DA5685A36AF174004A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (03162A3B249BA7C92A2A5091B414143ED204000000FF340C0000000000000000000000014E16C926A28E16
2D8AECE6697C2A1B87292142D430000000FF4AE30000000000000000000000030364916526C1D85A590490B0D99113F79000
0000FF43890000000000000000000000052A1B24D8B2B03944B7262A288D94290CA0000000FF836400000000000000000000
000943352FDE59384CCA638A61D922916461E92270967038000000FF50D20000000000000000000000030DB03651E2C1E61C
6F943C5B88D874453A623769A543C0C0000000FF81AF00000000000000000000000236840FF45975E65C52A462C247691B32
91E85B20000000FF024B00000000CA2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8080407E20000000FF8FD40000000000000000000000005D1B55DD756F5774D5B2BD9387D965D1F84D226C
BAB6A3A26AD8AEC38A60000000FFF1E30000000000000000000000000AECB568BAAD5A2D5AAE93654323D530D12BAD56ED16
AAB41B20000000FF01B2000000000000000000000018B1310448F4307912C24640C2CC20000000FF52410000000000000000
000000067556CBAAD5B3E07568B52C2FD68BA683075D62B0CF0B2B41A560000000FF651D0000000000000000000000390ED0
CA0B61514266112858485820000000FF088F00000000000000000000001238611724A20E26182391B24C590C2A20000000FF
01BC0000000000000000000000002A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A87751BB25E46AFB51A3ED46AB28EBEC46AFB51BA0C0E0000000FF478B0000000000000000000000039093
144B86D65177D05113D0B45A92617D3E1EA8A365E87458000000FF9013000000000000000000000000148A0F924424809A5E
80000000FFFC80000000000000000000000000109120A600E209172080000000FF629800000000000000000000000471038A
261C2E4D0703E321D209C003C0000000FF5E5D000000000000000000000000E4906242190850B4861860000000FF848B0010
0000000000000000000001AF50AE8BABD5E2E1FF51642BD4CB469311FAC564F164DAB41CA0000000FF37F800000000000000
000000000498F2C40F284DCF3C00AA2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000964E52219ADA0C893625C21CB278D859428000000FFBC780000000000000000000000000000250A
00000000FFE7F1000000000000000000000000E50D2840219428A508000000FFE2240000000000000000000000010A215916
48B34BBEC289AB28931588703C88000000FF32770000000000000000000000669C44B45D129C4F25984CC3E59445365129C2
3C6785F2A380000000FF740A000000000000000000000001CB9A0C0F236A8643B3A198625915243B20BA85916488000000FF
445000000000000000000000001C889F861DA299C36A1F0F28557D3361C8BB262DAAE95A36898BADE8EC98000000FF49E800
0000000000000000000032CC46006A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E000000000000000000000000061C5BD45C5E43169B38E49D2F989A1C3B09E0410EC88000000FF44860000
00000000000000000001482D2899E649EAC7CB2992629A30838B32188F484F09B1F2EA88000000FF4AF30000000000000000
000000064CB268D9288A68B0E2DD22A66299B41890C544C36C88000000FF7A36000000000000000000000000759314F961E9
326DF2C3A36B5CA32D06E3A2683B4725F228000000FFD7D700000000000000000000000291650A4DA1949161B44533A87EA5
FB1D9A742C28000000FFE7ED00000000000000000000000A488A8DE261A3708A1B8662CB783B2F0D2C92C84B041D82280000
00FFB3E100000000000000000000EA2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (896E61E53260BE2EB908000000FF9421000000000000000000000002511B87494324D4B265C0E156D9469C
1C50FC9301E4993C9308000000FFE7BA0000000000000000000000880A0BB2A446C11493E45A51C0C3BC2DA3C79090000000
FFC49A000000000000000000000088C59784C967EA1A9B53E8305DB345C33424F89E9E1720000000FFFDEE00000000000000
000000000002CB8A33A7995948C57C45250A360B9F2D205D08000000FFEFC100000000000000000000000E63572829513076
8A162985A50A36C54344524D08000000FF7ECF00000000000000000000000031870E17E5B3A4964C9B35C5A56B63D29264DB
BE2B3482E4DA2E88000000FF40001A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFB9CE0000000000000000000000095082B232165290B294A1A428000000FF39DD00000000000000000000
00004A8415919347283083E141F0B4A19428000000FFAF9E0000000000000000000000009514AB43BD6DD46CA198B49A38EF
113E532E29D228000000FFA67600000000000000000000000A65252D966E1C3E17124D2746C2A8748B2C3D183E998A4F9228
000000FF06CD00000000000000000000000C89163326164C2876A6E1B41F031C39433365D45442B0000000FF46F900000000
00000000000000003A74926B5866CA24D897093643B2F2FA2877AD30DF2284E0000000FF8A89000000000000000000000006
3CC2282F4A0F4C229CB08B28A6009A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (062A1721690618CC28000000FFA2D60000000000000000000000148C305C2CA68E5061850809A508280000
00FFC596000000000000000000000001F23482282D2EB46245624A3E0E3285A428000000FF411A0000000000000000000000
004A30E95B485A54315818A250B2822828000000FFA5E0000000000000000000000001285E4D19364A1523249A49136241F0
5A388C28000000FF97D20000000000000000000000001DA291B36CF27284D9A318D0A1943F74D94D1828000000FFA3930000
000000000000000000012E272E1CA491B42049A44CA23932859428000000FF12A6000000000000000000000000770A564596
95214520B688B2859428000000005A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FFF9BF0000000000000000000000039E41A4930588B49071C708078B6CB262E224CA70000000FF98
5E0000000000000000000000008594427671E40842D286EE3F2120000000FFA7AE0000000000000000000000012E28592707
8A49878ED43851BF450D02D1920F20CA28000000FF624E0000000000000000000000004CBEF4749F2E1A2751F469DE46D1D3
649A468C0ED59CE30C4E8D6628000000FF0C4B00000000000000000000000097036CE956D2407CB48A1FE2095161B14E9440
EC68000000FF5E4E00000000000000000000000018E50A0B9A3A44D208B28C8594289428000000FFAB540000000000000000
000000029C549C3D4531650A4D00DA2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8AF107DA0D50000000FF5103000000000000000000000003209262DBC39697598ADD651368C0BA85EA2C76
15B4625C0E88000000FF37010000000000000000000000004F083388E2309D1A1C990E2709D25C46130D064810000000FF94
72000000000000000000000004A63B2719FA2D248B0D8546C98508B0DFB81CB08B0DE228000000FFCD2E0000000000000000
000000062078261B28D9607A2E6985EA9D8E1582E61F215D8625E247EA259860791E88000000FFDDE9000000000000000000
000003213831186636235664A30B928DEE4F20AC1E4C968608000000FF4FC500000000000000000000000032292625828B34
927AB288DEA0C460FC61016488003A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000009119691658456514590A2285C2D3CA178A258A628000000FFF91100000000000000000000
00057DA8D166A340EA192CD464B8AFB11C47218C6EBB2290F418000000FFF5D8000000000000000000000000904118664604
0B81E4A44B81D2B040000000FF3659000000000000000000000000495F6A357DA8D0BA5AB351A22ACC4648289990CD300000
00FF961300000000000000000000000357A54355C33072B8CD5186EA3A864B530DD4391D083748F570000000FFCE92000000
00000000000000001C9CB1E41C7911F1E7190F9245303F9C507C4C17E58F20000000FFD1D100000000000000000000000015
88CA91A0FD2696510445988D2300BA2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF6EE2000000000000000000000000E48B22BCE511E2199591510341E244000000FF80EC00000000000000
0000000000A0C2B85130169253A70772C876142260000000FFAF800000000000000000000000063C8C303C4B30D8F02B22C7
D249C2444F68613807C252000000FF222C00000000000000000000000290C0C10B13D637964120000000FFB5E40000000000
0000000000000E58A2CD28B2444B10ECB361B2A4C2B6A15910AC88000000FF1F8000000000000000000000000088544C2C36
1650A1DA1059161B4328546D08000000FF4C5C0000000000000000000000010127911C945619D470B9293C3364124CD28821
3810000000FF50980000000000007A2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (62366230A2D851292C894A8985B1B4E268000000FFB789000000000000000000000000CBC629D3E25ABC5A
B689AA7526F185AB351C47ED28C3493628000000FFB8710000000000000000000000001D510429374DA33D1944B68C211513
43699228000000FFEC840000000000000000000000000985230B2D93C986863B41D8708E2CB4B0E485709868000000FFCCC4
000000000000000000000018F250F9A5CB07D0C3CC31F850547C29F3CF3C8532000000FFB36C000000000000000000000006
8E11CD936550C5A0C96513A51305B0E9643DA196DD8C000000FFA3BB00000000000000000000000031861B29C546D9E1D8D3
2E22C2B410B0848D930400000000FA2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000001ECA5665E5252858ED07269E4546F50678A26C9AE28000000FF3430000000000000
0000000000018A130A4DD3C87616512ED1282C3CBC8B6D8228000000FF89F9000000000000000000000000CD468A957970ED
1F49B578B26E970E95598EC9F49BD4556C28000000FF6F2C00000000000000000000007241C30F28AF4CA8A565231246C2CB
4F2EAA4E28000000FF10740000000000000000000000001750D0B050B55C526D2B85D3B55C174CD07528B82EADC8E0BA3699
DBEA2628000000FF49E4000000000000000000000000646AAF172A22D464FB59A2083AFB1DD67C5E76E8B48228000000FF2B
A900000000000000000000000E00062040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FFFDFC00000000000000000000000B2AAC8B6AFB55A3ED3649F175D96E2D2AF175DABC5927A91EADBA
28000000FF7AE00000000000000000000000432A0F0C36AB92EA7A6E4BA66A3A295A862D28BB2E6D88E0B9B683A2E95A8668
000000FF059B0000000000000000000000002CA871B22CE228B788B2850594C3CB88B2F228000000FFCB6700000000000000
00000000012696D5E2EBB46DA6F561E51ADB5D857C5CA8DB4DB268000000FF1816000000000000000000000000391B6165E5
10EDC5867CA9E4595CAB60B8B2C228000000FF0BD00000000000000000000000015B2C85942B4A4B0E8DA670B388B2C22D24
C225E228000000FF501E00000000862040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (73214C303C934DC9144AA69B44D75428000000FF9DC3000000000000000000000010B3F28224C115E6F44D
54983E930BC8B2CA165452321C28000000FFC2C700000000000000000000000165624D8507D07C417C51330D34C0E6DE20EC
9428000000FFBC5E000000000000000000000005949E53B05C39494B8D064CE104DA2628B78E064DE228000000FF617C0000
000000000000000000002CA0C328507D07066CC34F2282E23A521B3B03C228000000FF6F8700000000000000000000000594
8FC2E5578BAFE5669B46DACD0D3AB31D93C59B647EA4CA68000000FFF4770000000000000000000000021949A51B2529A493
6417169C459755225C2CB2280000462040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000002CA144B0A0B9D308E8CA619264850505971151441000000FF8465000000000000000000
00000586CC9FB8DA29A466B30A28D81FCA308A4E34C2CB0F282E28000000FF7BB10000000000000000000000001650BE86E6
4C141DCBA5171ED18510A8D85AE1C468000000FF32C700000000000000000000000422CB48A15B34D27A861B0EE8B0AC2936
48A281705628000000FF64CE000000000000000000000000161B334C0E8585E61F264A5FAA26141858ADA5130E2B0A8A0E00
0000FFBAE700000000000000000000000322C36C613C70364E181F4A22B922817E54324170CCC428000000FF1DC900000000
000000000000000148B2D285C200C62040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2B4790000000FFE36C0000000000000000000000055D9757D4F64F5B50C5D7D3765D3B11DA307C9E2CABB2
E2D88E89A36A1B862DA87818000000FF5636000000000000000000000015A2EABAAF5ABC8754CBD6ABC2D45D1E44AD1755BB
45FA4D4615BA88000000FF935E000000000000000000000003133EF20CC47A823AA0E4D20590000000FF541D000000000000
0000000000568BAAF5D7547DD51744B56F5D51B45AEB1593EC3090FECA08000000FF203F00000000000000000000000508F0
B103CB0F290E10F0B94B4B0E8A2C9A60000000FFD40F000000000000000000000005111B209E33654325119204923688A489
608C30000000FFD4FE0000000000262040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (659C9743AB11D860000000FF9194000000000000000000000000465262392F968D0B50725B5662F44184DD
469B78EAC98688000000FFBCAB00000000000000000000000390D417C6129E711DC4244FE4E410000000FF0B740000000000
0000000000000489A2C015F2A48814580C60000000FFB52E000000000000000000000000901C4966275451D23926200C248C
30000000FF3C4C00000000000000000000000223E54828F226965121D97221662C9860000000FF1992004000000000000000
0000002B65D77AEBAA22B23AE8B44D142D945AEB5593C597607D2785B268000000FFDD140000000000000000000000000643
E45309F9C4931C647E591489A400A62040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFB0740000000000000000000000050000250A00000000FFD74C0000000000000000000000017905252C
409428A508000000FF1EBD0000000000000000000000022C89D4EB245990C8764888B213A47C916488000000FFBB33000000
00000000000000000074184C150D0385707E30C6644C1286CC470D28D5F8C0E0000000FF5E34000000000000000000000001
2926CA36CB3CA2A59D43E9446BC51C6945910EC9153C88000000FFE7F500000000000000000000000048B0D99E138B607B47
8DE2EC3876CB2EAF8AEC3856A3C28FA4795EB78BD72A8628000000FF6917000000000000000000000000C9CE8C8741B4729D
C635C5653D47E82ACC691AACC400662040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (28000000FFF65B0000000000000000000000007699D309F2C984BA51BA8DA344D09897A162F03A4E2DE228
000000FFDED50000000000000000000000018D21DB184D13162BC0F916F11453260721689A4369D135A8DAA628000000FF05
31000000000000000000000000C3A3613832A462B07E1C5B24F0316C5349D138ABF9B6D58CB171F44E8384000000FF282700
00000000000000000000002CB2F3298712D9665434D94302ECB0E249879488000000FFAA230000000000000000000000532E
24CB08BCA2F683EA3B4E8DC8E0A689B128709AA7294E28000000FFEDCD0000000000000000000000310B606512C565C8B614
3B0146126963D08A4DB228000000E62040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C289A2C4000000FF6AD5000000000000000000000064516C671161C4D022D2CA2629926C3A36151F14E152
08000000FF804D000000000000000000000000C3CB0984B8BD93D611523151324E143C3309CC307E505E54AE1216C4000000
FFBC540000000000000000000000016541D0DB22CA12C8A4BA8313083F818B28521D08000000FF3622000000000000000000
00000C3CA8B88E1D986C387630B343325185D89936CA50AE1B0D08000000FFB0EA00000000000000000000000336CDA378D2
E85E2C47A1B0E2DE381A264392620F2E2E3877AC2C8584000000FF3772000000000000000000000000A2DB1869B59A7EB26C
116942D103C0ED11B781E06CAA00162040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF555300000000000000000000000AC56A7683E14B388EDF44F4650A49A462CAC853C42FA228000000
FFBB7B000000000000000000000002C208B0DEAECBD34B8ACAD56C171697270F98EF9E2E4BB8728228000000FF37A8000000
00000000000000003462CA7AC8A0A2D8AC78770B0FCA8B95116545C72790000000FFEE60000000000000000000000007AC3C
A0B922784E2FB08B0DC76C9C3703A309BA43A3708964DFAE1B90000000FF9CD5000000000000000000000000A4F9A629CA83
BA6A3E6F4877038A4DEA6A2E090E0F8A7D38AF8F4709A208000000FF1E1C00000000000000000000000148A1DC24C366AD91
1C4D859513461345C507CCE26800962040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9665F2505D9428000000FF89DF00000000000000000000000A0B29A364629374A2789862274CA16505A394
28000000FFA2D400000000000000000000000282D248C9B059394148C0A9051D92919428000000FF92EC0000000000000000
00000007A2A20B472B4394116504512A5951B69B04C39428000000FF3BC700000000000000000000000322A214336072922A
3668F944DA414A15D1B2328228000000FF4CCD00000000000000000000001682CA8650B285050CD14A88B2859428000000FF
85DE00000000000000000000000A0B48594282CA1690B294A19428000000FF54D200000000000000000000000451A3A42D21
40C3282282E68E50B48594280000562040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FFD84B000000000000000000000000995CA271588EC7B34F0ECDB26CA05B41C5AB0930EC28000000FF3FF7
00000000000000000000000A8D9029589789B66AE27D58B721B472D2719A276CC22496E9DD6E28000000FFADDC0000000000
0000000000000E42B17870B245F49149B25D23CEF184944586E531AC2B85107C28000000FFB9370000000000000000000000
050291B21590B28595081165346C859428000000FF5EC6000000000000000000000000A4745B05A3A4D1CA45CA38E060505C
9428000000FF12B300000000000000000000000108CA140B8748C3112214899428000000FF885B0000000000000000000000
72140B460F8585164E9675C49100D62040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E44724CF28C264A070000000FFAD11000000000000000000000000289364C3B058F96116542D9386C282C3
648C9B434DF228000000FF9F8D00000000000000000000000724927C84E6191E91D86958966395F898607D36194EA79A6CCA
E67856A1D9607A1688000000FFD14C000000000000000000000002484A124104918C10CD09938C2E48927104907030000000
FF0E690000000000000000000000C511968B224D912D16443B24D06506CC0F0F243A285F3418000000FF1BBE000000000000
000000000005227EB8E424B328A6A8F500BCBEB2E4C3C9D0000000FF0FE9000000000000000000000001114151CE28D19CB2
918C6961314598D9465000000000362040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000072048D93104164CD25264A8E120000000FF286500000000000000000000003BED4664A757DC0C2B
66A357DA8CB36415B35186715A2AC97219A608000000FF85400000000000000000000000D5C3750C19E07AB86ED0E3750E8B
0CD23D1C276071BA8623D22CC5787570000000FF229D00000000000000000000001CB1E41CA43E58F3CE2487CE06C4172000
0000FF732800000000000000000000001DF6A33261AA08CA266834430D988C983C921FDA0D50000000FF9173000000000000
0000000000002E8175904A7664B69D9F5D67D29D09BA85C2D1CB8B462D8288000000FFBB3400000000000000000000004278
84C460AD23C0E2326A724991C900B62040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (54BCA1F271E207B929000000FFDB69000000000000000000000007208530520780C673493480000000FF7A
D1000000000000000000000000C926CBA8B2A0D914D112E1454B30DD087642B46CBE48F12C88000000FFF17C000000000000
00000000000C3A36149B44594C7936B650A459316E116550C5A508000000FFB98C0000000000000000000000426481E71123
A2C9241254185685C984109C91288208000000FF000F0000000000000000000000011642A1629D9C687648B229A2C51650B1
6530E2D86628000000FFD6BD000000000000000000000000B3F6A30DEAAE4BC6165157DA8C0F22B311930790F55FB0A28800
0000FFEFB7000000000000000000762040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (87CA61C5B09C5626A36498B8194A2628000000FF9842000000000000000000000000E183E49B8607926E38
AEC0E5445942F2140F6383F3890F0DA099000000FFC1D000000000000000000000000033664E921F93AAE1C1F9BA59326DC3
FAD6111721AC568C000000FF8BBD0000000000000000000000000EC2C461610AD0B0EF1C0F3B05DD322C986664C6589A4DC4
000000FFF1050000000000000000000000014944E9269156712CE1394CA107663C49C0E91A3098000000FF75900000000000
00000000000003369435217C348A6CCB70A1B761430C36AF274182F4C460000000FFEB7D0000000000000000000000187478
C723D134C0F23AB2439D74A3CB00F62040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (22CA4A0E8D852968CA259C5126C2CA859ACE0E28000000FF8E0D000000000000000000000051C5955365D4
B55D0734D5765D4305C9756D43528B82E2588F4A778B2EA5F228000000FF39E8000000000000000000000015369D793CEF53
6554DB6D6D3689ACEBEC7715F171DADAEC28000000FF0468000000000000000000000002D216532728595231656451267543
6499ACAA28000000FF23200000000000000000000000741A751493D59B12D5E4E5E70B36CD315AEB11A47EA278A17558E668
000000FFB714000000000000000000000014A95A2985A2C2A76A58B2B2D182D2145357036428000000FF7635000000000000
000000000005971361B11C50EC000E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000778B26D5E2C5D587475E2C9B2175DAA083CB31D93C58768DA4DB268000000FFF24B000000
000000000000000002CA61C138B8E2C3CA4A3494885C3CCB4B0E159228000000FF6E2200000000000000000000000A5870EC
286625D90A2DEA6CA5259D072B2292613C32A228000000FFF8A500000000000000000000000B292C38A661F50A1D86E43D21
793481661067CC20F98628000000FFD78F0000000000000000000000019165A4D9508B661C145B290A4D822CA618B2F22800
0000FF5CCF00000000000000000000000599B290F6EAAE174ABC5A28A164D90F8D2ADB95857ED36CDB55B228000000FF745C
00000000000000000000000B29008E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000002CA16588C994C3CA841690B4A270CBCB27A9228000000FF6B74000000000000000000
000005DF175DAA68B68DA172DABD59360FDB5DAA6CB4B2719A4785937A8B0DCA68000000FF4C630000000000000000000000
00586C2E248A2703056282D3885225C319B228000000FFBD3D00000000000000000000003BC5D76AF1E8A8B2B85AA8DB5DAB
6D2618B599385D67AA1649A228000000FF938100000000000000000000000ABB26ADAAEC9828B926EA26E9E970F2EA1AA60C
268582EA1AAE0BA770F2685A4668000000FFB1380000000000000000000000002CA844B0F0987630CBC8A034D30CA138B2D8
8E28000000FFEBC40000000000004E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000FFA679000000000000000000000000A6144E2C0C32B2C397615A10A4991324D5B510A530800000FF
406C000000000000000000000049CB38986E4B9115114D0B4EE184924859344F5C5E98456428000000FF4208000000000000
000000000018FA545CA498B2E2F1C2648A4922A25269E270D9E38428000000FF3E42000000000000000000000000E2D1D246
5F5C794D9AA691B0ECD88D20CC986541FC327C28000000FF3E0900000000000000000000000240F2D1A36198B0DA127D9865
0A6074C2C9A6865246258228000000FFD49000000000000000000000000594C9D768E066D92316D23365216579344C838764
C215A228000000FFFF8000000000CE2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (16AC918AF1A0F9A59AAD5A2C8FB6CA08000000FF22D70000000000000000000000187522383E238A2D8964
8778A172AA2B216920EA79C490000000FF681F00000000000000000000003229248248946181D1D4146C1E4A8328530C9110
000000FFDED2000000000000000000000000665347CF2D3C28A668D81F083E21D4390C514C89993388A328800000FFE18B00
00000000000000000000046D11613278E2F187661B2EE8664982E264C225AAD50C000000FF15930000000000000000000000
00293665C9B0A5DA10EC9B5C8169261850784D986D74000000FFB52F00000000000000000000000636CAB61B30F0DBAC7936
8F0F2F3EC46198B19A102DBA28002E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000001CA231C81A555B2643111E98490000000FF1256008000000000000000000000768BAACCB32D9B
12DEB86D5BAF556838BE1A0DCDB55B5745937A8A8DB268000000FF1BA00000000000000000000000029E4088E43827869261
84A1DC811088F48470000000FF27BD000000000000000000000000A3B2EADA2EC9C3F03B274DAAEC9EBEABB2EAD826F285B1
EAD8AEC9EB68BB0E19A47818000000FFF7C300000000000000000000000ED16A85765896AD561594E59C9619D1755D288261
EB55AB45C57AA315A288000000FF6A9B000000000000000000000064058EA2400CE9E710134990000000FF53940000000000
0000000000001DA2F51754756400AE2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (946D1648B2C93625CA43FA399BF35F2888A1645916D16590000000FFE3600000000000000000000000422C
A165C5DB39643361B44D9756D5716111D0F36CE9CBE8B29200039000FFAB2200000000000000000000002541FA0C46882EBB
25A3A8C643D573DA2DD8311FD715D4E5382EA208000000FFA7410000000000000000000000851648B249D26CC4EECA85A225
D34189344B3272856400039000FF4652000000000000000000000000020079141A474B50CC188C00000000FFB80B00000000
00000000000000420721CB211122A27A0890000000FF9AC500000000000000000000006409C925104C331113974139392510
000000FF9D5100000000000000006E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000283161B0B4851127D04CC224586E181C70C0000000FF21E600000000000000000000000227
CCA08A89B2C99978A86AD82F0A924C4CC5B2A7CA194330000000FF96D9000000000000000000000004DAD84C9159532C2859
B68D06CA962C374E070F283CA0000000FF1FB100000000000000000000000028004A0000000000FF4F700000000000000000
0000000014520A9048E50840A410000000FFF3A60000000000000000000000121A20791659759051728B2440A20789E81D0B
20000000FF04A7000000000000000000000001CA3EC0E490FCA2A1CD68A2852BA61CCC190E831ACC384148F0F0000000FF19
7700000000000000000000000300EE2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (DB9D000000000000000000000000138A4DC2C3B30B861D8194338643C5105C122CA32B381CA0000000FF59
0F000000000000000000000039384C638553A8E132260BA8687C672A274827168E5C0D074690000000FF9531000000000000
000000000000584E9869C64321C972224463A43238FA142C90000000FF65480000000000000000000000001A69A4E185C8B2
2984E49C86C9A46999262460849C283990000000FF763F0000000000000000000000008991744C53452B243344E1265E4932
D2594AE9E61644B0000000FF2D9000000000000000000000000258A8D8554C8DA8683D38C2C79768C273C546CD3E68385DA3
0710000000FFA8F00000000000001E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C360000000FFEE400000000000000000000000322A3615924D455AC964D2352629C471387668C9BC8D8F64
44000000FF3666000000000000000000000085870EC5761C8FA4D67F2D3A249F868FFA2D2642E1F842714C2CA0000000FF9C
B9000000000000000000000005962370F5C61F6431485B1C487A44707683A991C56444000000FFB94F000000000000000000
0000001650456798488A6DA22A364DB4926F27650587C444000000FF44D900000000000000000000000546F1170BC7876757
0E1F18C73C59C1E9645E65143BC4524630000000FF0F46000000000000000000000000B2987650CB15B470DC270CACD064D3
0C8683B862DC381CA0000000FF009E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000168C9BF6126F9741F30C9F4186125A3A647145787926D0908000000FFE86F000000
0000000000000000005950CB0A32AD6489CA169845A4950908000000FF06E1000000000000000000000002CA1650B4949121
A494A42B20000000FF719A000000000000000000000002CA1650A3474822CA8694329C305C2CA0000000FF95F70000000000
000000000000881161B04D86CCB451C0F030AD237411A0B899511654456484000000FF2C1500000000000000000000000458
98B2EA76A37C90E48289E174B264D98787103C5F05C596CC3CA0000000FF11FA0000000000000000000000028B68B56C8152
D5B3CDB2DD22B94AC9A8D9B6C9005E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000B2A105A42D20B472804564288888000000FFE0E7000000000000000000000001CF289D28A2
683A46C82472C870F268F985C344CA2C68000000FF74810000000000000000000000031D246966103CC99819082BD0C52028
000000FF570200000000000000000000000008B491A4142CC208570B9447A065A0D16488000000FF55860000000000000000
00000002D24691224D27044B50C9B05116703B303932918488000000FF0B4C000000000000000000000003472830CACB464D
82D9CA0B9CBB4C460D218E546186D818000000FFAA980000000000000000000000072C3CA8650459427E2E5A42B948908661
61B0619508000000FF1B51000000DE2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (119291C2FC62301240000000FF40AF0000000000000000000000628B28A2C88765923C4A2CB2888B283A26
516488000000FF14BA00000000000000000000001D151532A4E18D18484D7B35C89770926C5142C9B47818000000FFC3A200
0000000000000000000000AE4299161A5881F048426C5BC0DE3E40000000FF459E000000000000000000000001285A71E4E1
BE45DDAC3C3708E4D2334F89996C28000000FF1BB3000000000000000000000058649360B4789E2EDBCB49E30DE96D182892
43B334743E5A5924DC4668000000FF9CF7000000000000000000000000B2C30B114D3042B35E0717114C4CC50BD9B616A0C2
88000000FFC2F2000000000000003E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3B1E44BC79622384711D8F20000000FF1CF100000000000000000000000EFA8996CD06630B2499C8F133AB
2ED4615E464980000000FF674000000000000000000000000028B303BA25C9755B31BBB282EB3EF47C9A3669A0E593D55488
000000FFE9F5000000000000000000000042613E31192A0C2748F0311E1B3C9474309F926230484109C0000000FF30450000
000000000000000000016551C546C2CAE4E5E587951C0DCA262DC26D59370B0E2DA228000000FFADD1000000000000000000
0001CE26181E35C0F51CCBD3D131C8F50CD352C5330F934CC743E1A0E4FC4C343C88000000FFA14E00000000000000000000
0004968613834592049C0E072500BE2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000020249321C0E4C2711A3678570D064F2EC4642E270213810000000FF3EC200000000
00000000000000014A2232B28B29190E1591640FD417D88C50EDAAA0000000FF1A6E000000000000000000000001DF6A757D
A0C51AD150D96288D2305F03DDC5945585C0000000FF6589000000000000000000000000064112743308732A8214F188DEC8
60000000FF7612000000000000000000000003BED46AFB51C46CC26449FB91A1322CA249FA8AA09860000000FF7591000000
00000000000000000D5C1748F1395D23D0C2BC0E170DD8385F8FA13810DD23C0E136464C20000000FFB5F000000000000000
000000001CD23102FC84ECC8EA007E2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000011290DC0E341AA88B1D82EC85661C9E4C450EC04000000FFF23900000000000000000000000A892609
981DCB38E297166C332644412404000000FF767B000000000000000000000001C8A0B38187642E9470344944427843341D21
934D20000000FFFCAF0000000000000000000000008F2498683BA61B225987EA2F49D5E1FEBCC24389E3388FC8C3D4620000
00FFCD32000000000000000000000000A4148F5890E1064420000000FF1CB20000000000000000000000008941B291A2CA28
FA442B64C36341B2890ECE249B20000000FF624D000000000000000000000003961D88A2D993979151B03D8746F1E2C845BC
7930EC2CA0000000FF0BB3000000FE2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (46832A08000000FF4CCD0000000000000000000000005210CC9C0E52CC5951A61675220B288B0D91589608
000000FFBF3800000000000000000000001DF51768BA4F5D46B50ED46F5662338C61D0B50F143F934427C550000000FF5060
000000000000000000000000531921D8794944993487C34A142F90000000FF8A8700000000000000000000000148B21B22D2
4F0E8D8968A96238A8D82E83B161D525885E85C460000000FFCDA90000000000000000000000001796868B94344CA1CA3792
6121D681F4E3CC2E1812000000FF2F2F000000000000000000000000113469251D5493629B2711B94308B8F2284E8CA00000
00FF600900000000000000000000012040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C9C360000000FF3475000000000000000000000000288E962992615896285714CD674E20EE141C536B15D2
08000000FFB3E700000000000000000000000A45730B2369B21E10EE1A49BC61326D2078B2828710000000FFA42B00000000
000000000000000EF17519D685B28B0EA9ADA4C174468A8749276D264DA4DAB41910000000FF94DF00000000000000000000
000590C2896149B432F2C9374BA0B30C2748A2D8A689A360000000FFC8AD0000000000000000000000055D9750D13E4E8B42
D93A7944EB3279C543A0E9C41754C2F64D5B54E2B26E10000000FFA3270000000000000000000000015E2D133EDA4CCFB61B
A78B8ED54768D06259651E2C1F00812040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4166CCA141650AE079598656459488000000FFFFF700000000000000000000000E9A29D9AADD66A3A419AB
6E76159B4A285D37AA175DB8B8EC28000000FFDE04000000000000000000000014714C8AE85A270392A1C3B55D97C52B1698
6956D4365D5B31D9716C88000000FF5EE900000000000000000000003228640F39461B1B2A22897916550C526C28000000FF
45BE000000000000000000000002A69AD978D30AD42F5173B2BCD64CC3643F172A22A360000000FF06840000000000000000
000000005096885629B3882E2CA23B26234E20AB748AAD89F0A960000000FF7DBD00000000000000000000000148D06C90E8
D16EB0EA98526C2B586526184C00412040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000606144F1E89A22CA898A1D888524C4965CCB468324C88000000FFE1C70000000000000000000000
0902A170E5311DA746C0F169A0F995090E439235A15D261D20000000FF8FF000000000000000000000000910764C70D90A0F
E289926858284525E1C4838A0B8CF0DC28000000FFA5D500000000000000000000001914344BE5A70EDD65C9B06139427159
D6124961C53469384C28000000FF452800000000000000000000000282B68D912C546C17845BC4D61A64335C8B4896D1AB60
000000FF10E90000000000000000000000074D126E5D168DB2A86C9A37AABB0B48AF6D8665DA49D22CA0000000FF63CC0000
0000000000000000000A4518E600C12040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (24812750000000FF55F6000000000000000000000000E42982F8C86C78B94C993634B49B842529F2280000
00FF6FED00000000000000000000001086684D92468B0E4D9A66C8649B26890716F185D0834C2C38000000FF0AAC00000000
0000000000000002891690A4D85A7534C0C143B7A25A186F10000000FF85AC0000000000000000000000720A42DABA44B353
B29C516CC3A37AC20B28A661C0D1B74104000000FF0310000000000000000000000008413D389CDB4D934D7664D073AE8930
C4661986198288000000FF78B1000000000000000000000008A0F48984CB34607587693607908A4F30A24E0741C1FAC66000
0000FF874C000000000000000000212040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E488F481E48A79E59C40BF3082730E10000000FFB5F5000000000000000000000000A38BCA86EBABEA1F2E
9DAA6CBAB6A9B1EADAAF6F2A3A2EADA2ECBA7691A2EAF92628000000FFD6DB00000000000000000000003B418A7D68F775A3
D43459568BAAD23A0CED16ABBA857AEAC89688000000FF5D37000000000000000000000052E40F2424040472C449CE34E208
000000FF006700000000000000000000001DA0F924C2BA2E830ADCEB6EA8C568A211F45A2CFA67DA084E88000000FFE4FD00
000000000000000000001914158A1D8962A368833C6946C0F39854893248F308000000FFE318000000000000000000000000
524373C921781032E3C8D3624800A12040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6B25112CEC3F118560C874A2367906400000FFC93300000000000000000000001142C8B2094E8DB2D78978
A2CC28A13A0F56AC822B20D06080029000FF2294000000000000000000000003190C9019E3081321C8615D06520208840000
00FF533C000000000000000000000000AC10048288207D0707B5259C0C18C2B0C4000000FFE7900000000000000000000000
65CA23C60709C9241152B4D21A2352E750000000FFD36000000000000000000000000002B04B4231043931027390000000FF
39480040000000000000000000003B4191B68B24DCB975BAEABD758AD5A0D4DBAEAE5D5649DB5D9288000000FF1680000000
000000000000000014B962138100612040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (43B74232A228000000FF1FB100000000000000000000000028004A0000000000FF0F050000000000000000
0000000281C948907C0921A4A508000000FFF93D000000000000000000000023A81E8B381A28B242E9459A51028FA89A207A
936488000000FFC93C000000000000000000000006461F29188E0BB905C9083B2543330C4702E496448A400000FFE5EA0000
00000000000000000025475649303C8EAA1BAC8D772D94407A2CA28896F1D16C8288000000FFE05D00000000000000000000
00003316BB24507CC3A3719B2E5DE2CBAB7AB8A91DAE2A374B272C4781CBC8A880029000FFF8FA0000000000000000000000
072259DF6A3761B90DAFF6A76400E12040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3478570C3620E66142C051326488000000FF675D000000000000000000000048FCA16A390E29E8E85A174B
0F481E195224143A1AB0F82E28000000FFF967000000000000000000000000648D241C2B428D929952859436612503C50400
0000FF889700000000000000000000000148B4930CAA90E8FBCDCB0B2E6DA22A1781F461DC2498348A27A288000000FFDD08
0000000000000000000000122C26658A1DE0689A36CE1B24D88FD15988D194121D41987ED288000000FF35A2000000000000
000000000000A544516C43A272B8B0D88EC3E285B2611D33954C3090F28D04000000FF66AF0000000000000000000000009E
65A459453443B0A498526C299800112040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000048B2930CBC8B09A8F08B61508B4C3D3CA08B2C1EA98C8000000FF174F000000000000
00000000001C8B4A1649316974546C1265452F2282861B24DA4858000000FF68AF000000000000000000000005A45B66C0F2
3D87A59169141320D2918BC8770937492748C708000000FF42D80000000000000000000000622D28653274FBB1877C81F8CF
D130A38C28D9105D08000000FFB329000000000000000000000002D2165367292346D1662324D4A1C4142C9B48C970CD0800
0000FF31D5000000000000000000000002EC3A1683F38A86A1B2D3F267D8EE26F539050A20A0782E88000000FF71F9000000
00000000000000000122B249CC00912040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A434859428000000FFE05C0000000000000000000000390B285030CA16505C307C2D21408A0F8594280000
00FFB21500000000000000000000000224459543B485D25332CC28261E9A0D147116923D439468000000FF32FB0000000000
00000000000088E9E36171E61950B16981ED26F91513A493C79165C5AF9428000000FF1F1900000000000000000000000B0D
815987942D2C9F176D8D236876C99A2F836E20000000FF7346000000000000000000000040ED1936E9932649EAB1A3668D21
B274FA2A364B0F2E361820000000FFF134000000000000000000000010B272A9979165F90D3356FD68C3B0B899C347D9143B
54E12790000000FFFBD000000000512040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (650A42499D9607248588A49CA19428000000FF3FE5000000000000000000000000594290D202E7215C2030
8CA19428000000FF647600000000000000000000000E42CA68C8693A898994538423A1708079A39428000000FF4AA2000000
00000000000000000068E50A228824CA88C0C34A1248D286504502000000FFED1D0000000000000000000000008B28562812
4C61E1B11B061A5144E23222DA107C28000000FF8FD700000000000000000000001A3959147650523C7109C869C0D87122D2
09328502000000FF55C200000000000000000000000722CA48A2328546C950CA15D0B2919428000000FFE583000000000000
000000000002CA14148414169000D12040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FFBFC4000000000000000000000004B260BF9127098A29A1C5994CB20C0E0A2868B420000000FF528600
0000000000000000000005F2A228C3866EF38A1EC9877C8B081E89863450E072C228000000FF4AD100000000000000000000
0068626E47B703AADA30CEA3CCD238ADAB7416CC87A170C86EFA89E462DC6668000000FFDB5E000000000000000000000032
F2A16288EB4E93651042A9179261075E2D8AA70DC228000000FFE2E20000000000000000000000070E50A051A48CA1650BC8
2B1811590A0F8228000000FF8A1C000000000000000000000007294D18144E91C3C7946C1841507C4239439428000000FFC3
9B00000000000000000000000C00312040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (18000000FF64A5000000000000000000000084C98703262388E2721C530CD4390C3348711A1327181C9216
4810000000FF644000000000000000000000000193A6CC992169A454EE91652453BC3847851B326ADA8628000000FF206300
000000000000000000003945112CC3A0F0724ED753D4334E0F48ECF03D619874EBCD265B341D8607F288000000FF61BB0000
000000000000000000030324104990E2B018AEA3049024AA32509A7703833064B0000000FFD7990000000000000000000000
18627A8649A147D42D166945922C0C220784E1AC88000000FF42AA000000000000000000000044515EB47C85629A9A49E49B
12D88A6CA2078329914E08000000B12040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8F5547AD224C4B44AF668301E8598E88000000FF2270000000000000000000000033C928798B24A13C40B9
3102621980000000FFB5B100000000000000000000000B3F687642BA8F592DD57DA8D5F62354059A8D566C787DC510000000
FFBF1D000000000000000000000068E19C311867A1E2E13A341920EA61BE3A984EC4E3350F170DE1C1C3787530000000FFAD
1A00000000000000000000007212E98B963CB141F9C4A3B96212F1E690000000FFAED00000000000000000000000077D88CC
A3A829B03D591EA23EA271B34199B0CAF0000000FFB2900000000000000000000000002ECB15F4604B316E83A8D906A3D412
9D11B860C26D189713B461583400712040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FC980000000000000000000000054C383C61A1E4D8617893D51D592283936981E24C0F1AFCE242000000FF
B4B3000000000000000000000001CB90531CE0602E71004900000000FFB3FD00000000000000000000000294590AC93D541A
974A2CC24BB28BA85924989644CBA4876488000000FFE42C000000000000000000000000A18B285942CBC8B28594C9887165
1B28524D08000000FF52B1000000000000000000000003CE265C460891824A4388D219283C27C607250392918208000000FF
2F71000000000000000000000008B2454B89DD648B224D9526C519488966590F286628000000FF6939000000000000000000
00000159AD181D9E7503C157DA00F12040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (74D16CD3488D92305970384CC312F59428000000FF5D50000000000000000000000000C8B285A46876A183
2988F6CA238A5072D288243F2AD86628000000FF8D7500000000000000000000001C305CA183F4B860B9070CD11491F27C94
B07DAF2C41F0A0A2000000FF2B80000000000000000000000002D21C93942B162B2683ECC966C88B092F20C264E521C58400
0000FF67C8000000000000000000000004ACADA87C7E223D348962CA70C449B0CF0F2A8628000000FFFC8A00000000000000
0000000000A14E1325184C1134B8FA1111A4172A0C000000FF2F71000000000000000000000000B489785C2C91305C25869A
8D142F1424DD30D060000000FF00092040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000778BACD53694507A42A3AB235743A42CA61A174D90E26C28000000FFE64A0000000000000000
00000000B285948F64E1B12C5954CA48C29E072A870C3685B6E1D82E28000000FFFF5B00000000000000000000000ABB2EAD
AAE2CA91F1748960BB2A9C0F8AAF0D26BC32E2E8BA3708A2D86E28000000FF4F86000000000000000000000000B3E2EBB54D
A494A661B541AECAF8488B2F3A924828DC4628000000FF19BA000000000000000000000005A4A1CAC8BB41C5DA92105948E2
15EB4DA228000000FF96A600000000000000000000000EFB55AB41D2296839D3D511EB0951650CD0928A68000000FFBC2500
0000000000000000000001329900892040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF9AC3000000000000000000000002E064A5861C417153B232993622CA1650B29228000000FF3FAA0000
00000000000000000000E9ACE207D2479CF17491E2C77A8A5B5DA36D3688B2E228000000FF25060000000000000000000000
30EC9A694C0A8708A99E2282C26078B282E2CB4A24DA1628000000FF2D33000000000000000000000065934AF944932493F4
331417164D84E2D2918B2E2287613DB1D88E28000000FF2541000000000000000000000000159234850ACB31643C4D84D1D2
958B2B22CBC99F29A628000000FF2A4700000000000000000000000A45A42D227A274DB93A54CA869E61949C0C5B0CC2DC0E
28000000FFEDED00000000000000492040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000A1DF22CA2793B48D8734D6CA84918B2C0EA186613B1E1D88E28000000FF4DDC0000000000
000000000000187943316520F28D3A4474612903C5950CB4B464FA1628000000FFBCD20000000000000000000000005DF166
DA36D7649A172897C3D3A8B1DAB85D34DB5DAA95DB6E38AAD82E28000000FF4E2200000000000000000000000322928BB329
2C924A21DAD4541D2858B2B22866599B5DB268000000FF7B7000000000000000000000000778B11ECD764FB41A36CAAF175D
AB41D30F175D95F6D274DB0DA228000000FFDEA2000000000000000000000014765E115B42D07850B25D1B54D87C553161B0
9D9756D476DD1B3272C228000000C92040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (53A1B2A228000000FFC19E00000000000000000000002916530F2B28C368BA1631B28393648A85AD948A26
1CDB0ACD44000000FF13BF0000000000000000000000010FC9B64F26A186FCB1E1E56513050EF1328B0F298712000000FF43
6C0000000000000000000000864354F4AB70F86247EAA6889C1C16563A859428000000FFB897000000000000000000000019
64E50CCD95D16512B0A6068A2C38B70986C8586C28000000FF643D000000000000000000000001CDB2A16C38B6138B282EA3
EA61E515071362C7741BAC9C317C28000000FFE2560000000000000000000000010B860FAD26D8CB0E2CAA2B152165428985
9428000000FF80B6000000000000292040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF4D87000000000000000000000003109F952C54D2090E0563104951B208000000FF4F2A000000000000
00000000001DA2CC3A0D9AACAF558A3AB5AAF5459568BAAF5D7D568750C170000000FFAFFC000000000000000000000034F2
922883CA1215832F20E6146C4590000000FFCA97000000000000000000000002914905CE4EA670B914A481048C649988CC70
000000FFE9010000000000000000000000004F20B615BA4278F294C364C64E5271879151B14C5C0C000000FFEDF200000000
0000000000000002105F926F925CC30AD1C4D4B63D1A456228761161E541C512000000FF6402000000000000000000000000
149B42C5948CA85146D222891600A92040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A2CD070821C8231FA19948000000FFE980000000000000000000000001884E0B915C86E88E871147A7962E
449988CC70000000FFBF7B0000000000000000000000029E449272859C48FA6101884590000000FFD54B0040000000000000
000000003B4793661DB5DA36CACA3E918AD0F44AF175FD5665D1F559B2E228000000FFE17E00000000000000000000006383
9231C493286C91391290C9841090000000FF86690000000000000000000000055DD327D1375D5F54F574ED4714DB12D8F36C
C981FABBAEADAAECBA36ABB274C308000000FF20480000000000000000000000568B648FD6AB575A2D47B261D2715AB4182A
D1755D276785EAD16CA288000000692040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000065988D188C880C5661E071193364154E137496703660762C8000000FF8B9E0000000000000000
00000008B2CA3AB28B2E190EC9249897CD06C828FBCA225C259B20000000FFBF36000000000000000000000025C9662D208B
282E293E4B2629E2E3ABF8C2A1A2E2D36141F0A8DA2600010800FF6D54000000000000000000000022B662330E4322262B93
EA367E3B263F1FC13AE1B742F66C376E070490000000FF3933000000000000000000000062328CA765E5146F16AE514D10AC
33149A3C5948B248A4DA2600008800FFA5160000000000000000000000018949209E4334192CD0611020000000FFD55D0000
00000000000000000001D0090000E92040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (84F0000000FFC7F1000000000000000000000014B274A28D34F161DEAC39C70DC24DB2CB1845B55C11ABE2
E470000000FF57A800000000000000000000004587A48D3C8B2A0E68161C144A0E1940542C10000000FF0763000000000000
0000000000042644CED2298AAF8547170D8889C30D8E5A706608000000FF86B2000000000000000000000022A5146522F2B2
C9C8685C28D91EC90CA0B864C0000000FF1FB100000000000000000000000028004A0000000000FF96BC0000000000000000
00000000169040C7928728086900000000FFF8B000000000000000000000000945965D459444BF516453459223DA51642D20
000000FFAA8D0000000000000000192040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2E36E1C9307DC3451861344C5942763D1B18C50AC330000000FF242700000000000000000000001CD22997
0E92472DA22EA16521F50485CA48B2ADC710000000FFE7DE000000000000000000000002D0565986585A30AC9151234A0F08
76C9B2D229D0000000FFF5D3000000000000000000000052C3B2709C32A0ECFF3633B66CE46D1D378A26272A8618D6893000
0000FF7E1D00000000000000000000000B4A1942876CB0FAD3C391459250D845938408000000FFE58F000000000000000000
00000E417661950770C5BC5D9345D0778CA1C2C0D8F941262990000000FF48610000000000000000000000014B134328A62E
3665E930C5942784C8B0DD272200992040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1141F44C5A7916510ECE8E8C3659B1EA0CD26D53165C1C2DD204000000FF3E450000000000000000000000
186283F458B28D942AB6361F24518749936C8B2E22A360000000FFEDBE00000000000000000000000122A4748B499628B70B
666D8AC9A348B098CFACA151B75934CE90000000FF3FC900000000000000000000000070E5E45650CA71C5BC59307C8F7CF9
3872A866ABE0B8A4FC27C8000000FFD5CF00000000000000000000000CB460452126CD1C3789B883C5D349B5F28D96CC3D20
000000FF1C6E0000000000000000000000002CA169A45979B45348ED4EE98589B0D9930FC2D160000000FF22030000000000
00000000000007228A8D9C309600592040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CB00000000000000000000000011650B2FA2CA0C2C8228985124DA6D0D24A0000000FF716E000000000000
00000000000016534F0FE22CAF1C3ECA293725C20B2F2D1D24A0000000FF0A4D0000000000000000000000008B285A511650
5C712C169E142E102E1CA41C5B00000000FFD042000000000000000000000001650B485942B248B2A12D942CB080000000FF
0373000000000000000000000002CA1690B28C910505950D2320000000FFCBD4000000000000000000000001653874859488
1E441F0BC8594282E4A0000000FF1017000000000000000000000002287636942C5944B25611A0B2B034ED9320000000FFE8
5F00000000000000000000000200D92040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000111E24DC9EAA764BB664D82D18578194F0E07D1BD6DCDBA0EA3524D360000000FF08
DA00000000000000000000001AF16D147D30E2D98F69364D061385628C74ACE355934920000000FF15F40000000000000000
0000000059414408B2856494282CA45900000000FF039A0000000000000000000000004A0C207A862CA08A2D8596438464E5
E79C703320000000FFAA7E0000000000000000000000028950991650AC8229081F49B00F00000000FF674700000000000000
000000000E1CA48D95A0F8503C284E4C283C87CCA446A0000000FF1F190000000000000000000000001654232729C364970E
5B461053948B2420000000FFA500392040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (8A2DA462A2761E530C546D4CA0000000FFFFDD0000000000000000000000E4C3B2E0F64C3D44B742F84CF0
BD61C1F0B987CA3A95EC997E91E31B20000000FFEB7E0000000000000000000000011386E0C37119872D85E082460709F921
C921BC41251180000000FF5A1A000000000000000000000023AF24981E444B506359132D9A0C8A2C91654B20000000FF7738
0000000000000000000000002848D07220BD1324C1947332BC683159726C259660000000FFC71F0000000000000000000000
043692D180C8691BB4894738C3280780000000FF8EB20000000000000000000000314AD61B44596116548D1DC22B161C3BC4
1C8D134360000000FF7FB3000000B92040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000E8243EB21E06AFB51ABEC2AFB11BA08CD46AFB41ABEA20000000FF6800000000
000000000000000068FCC2AB9285C1750F57A51C4E2730F2330F0DD43D5C3787576860000000FFA294000000000000000000
000072E49069C58F219F962438771E5884EE40000000FF35A900000000000000000000000059FB8EA8415D46AFA0E288D515
66A3559B8D5F40000000FFDB5900000000000000000000001E94E1DE2E9970B8B282889721D46858288176505D6417A00000
00FF5AF400000000000000000000000125181E906C3722349856446E490311811860000000FFC25000000000000000000000
0005961EAC5B478746CD19368500792040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF8993000000000000000000000003911B840115E82408203480000000FF63210000000000000000000000
22C88712D41A1623A2CC3A896A2F152625928FA440000000FFF6D0000000000000000000000000151B23C5949316544D930C
DC393688B098726C2CA140000000FFB96B0000000000000000000000001228AF1120494410CE1F4128788188C080000000FF
4E3C00000000000000000000000CA31D92C3A16465221D9167145CA2C916443920000000FF75590000000000000000000000
2CE1B596E6ABF6A357D90D5062324DCC3ECD46AB351ABE9860000000FF49C10000000000000000000000012F902E4BB88139
2A4C82C40640000000FFC1030000F92040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (B1E2D85951745984597985CA32C2CC2E40000000FF0F60000000000000000000000006183F4B86478C307C
A1B2728792553959079C6430101E000000FF7021000000000000000000000014C3614D36610EC53E44B41FB1CA8FCC7484B2
2325931201000000FFFDEB0000000000000000000000083CA141A5936DAB213B32987088CAE71445A0C2B0000000FF428B00
00000000000000000000064CCA4321C449226C52C92C88B341D208000000FF6A3C000000000000000000000000151D792CD9
C0E124E10CD184BB41C21699922CA840000000FFF9D30000000000000000000000018707AC303D134C0F0CCDF648C1EA1EA4
3E261B3CA20781E6185200000000052040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF0ABE000000000000000000000000B2E2C9A3616505E12D942A1C0D9245942C20000000FFDAC20000
00000000000000000000AB92EAFA26C9C96ABB2EADA6689A8689B1F8AAE29145D4355D9746C29860000000FF9DFA00000000
000000000000000EF175DEA6C76AF175D936D76A1E071DEA682BB6A3D7D29C4920000000FF6CCF0000000000000000000000
046589C546C8CAE1E511E144289141A820000000FF44FF0000000000000000000000167ED77A8B4AB85D770DB5DD22F46758
8DB5593C8205C0000000FF21930000000000000000000000021949151325C45942BF650258B282EC5AA860000000FF336100
00000000000000000000322CBC00852040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (40000000FF9AEB00000000000000000000000A611146910F17DE519508882CA140000000FF1BC400000000
000000000000000778BAED5E2C8B35DD36D6644E3B7450D2B6D36140000000FF76DD0000000000000000000000294650A652
81E2D34C20A6450516D17440000000FF529C000000000000000000000002CA61CD309E195D1463B268D82E294364EABE4594
9140000000FF109A0000000000000000000000A5195C39268655A0908505E286CA21C0000000FF9944000000000000000000
00000016524516C2CB8C259E36CA848650B28215A860000000FF289F00000000000000000000003B45D77ADACABC5D77A9B4
EA0C46AE0625EDAED5B6FB000000452040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (45B054386144D14287114BA68350C0000000FF4B720000000000000000000000298442E1B41C595D854731
C526D09430CEBB81E1A49840000000FF759700000000000000000000008612116C968D903D0DD2CB134C8B29A314D1714000
0000FFB124000000000000000000000004E1751AB899B607DB51E36B38B271D91F0943341F56DA4F9140000000FF49D40000
0000000000000000000045D641649124C20AE116E4390CA340000000FF1B3400000000000000000000000770BA8D5A0C130D
9A8D6997AC35D967081723CBB572BAED5140000000FFB8EA000000000000000000000002AE0BA86AB92E48C1752D1E5D1344
F8750D078464D43D5DB756D53100C52040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E0CD0B2495C89840000000FFAA3B000000000000000000000008588593664D2BC5EB3C5B961E10A2D89F4C
3820000000FF51A900000000000000000000000084CA270D922A8709A4D858ED23E2C16581CCC26890000000FF63C2000000
0000000000000000008492244C0FD4D3C42B0B2B30D878921D9D286A1B90000000FF00A00000000000000000000000068741
896438122D1623E12268A0FCA36D4840000000FFE292000000000000000000000014745A83E0BE7A90D8510B479860705165
0A20000000FFB63100000000000000000000000E54308794572DA180F45879611448E181CC303D0A20000000FF1634000000
00000000000000001230A70CA100252040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (5D9756D57140000000FF9E6E0000000000000000000000056836AAFA8EB15E2FAFB4A355E34188F23C2BA0
F555D440000000FFAB410000000000000000000000002485D641453748E063CA2C7900000000FFA5D5000000000000000000
000002B419D12D43A5D6E28B28EB15A5688C3A0E1A0E8BF51840000000FF3ABB000000000000000000000042A52B22D30A0E
652F3089C65645959040000000FFB7F9000000000000000000000000520730C27A62312FD30C2713688CD393923198788000
0000FF66D80000000000000000000000215257A0EA999A6116C88B6844920FB110F08470000000FFC7570000000000000000
0000000044C922724C383EB4F100A52040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0B21C9C787750744299AEC9100039000FF3C9A00000000000000000000000111C8893081A8C92041E41000
0000FFDF350000000000000000000000021C590900896CBA48205952481120000000FF372400000000000000000000000088
81CC309F188E4BF4950D984234E47CE200000000FF828800000000000000000000000293D21E5103C02B923100000000FFB7
570200000000000000000000002BA0C53BD72A2E5EA24D0B11D18BEABA0C141FAACCBA2D5340000000FF3A08000000000000
000000000031E7188F9C7103894EA1C26A5CB14AE4830B80000000FFC5C900000000000000000000002AE2B3365C1FC8DB71
7CD1CA89B1EADAAE2B175CC5B500652040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000012420B8052A1022121A508000000FFA7B20000000000000000000000031451A5112D947585142C8B24
44485A22516488000000FF054300000000000000000000002303930FB41A20B853F413261C26460C203F1864385070000000
FFD9AB000000000000000000000009309661C57CA3A916647AAD905E8386924F118D10E36488000000FFCE96000000000000
000000000000284772C5BA7C344E0F64C5392D8F953361C2713D1750D071429B2E2D8500039000FF16760000000000000000
0000003BEE4649C5643EBEC0ED5C5E68279E8F22D5FA53D26CC4653828A208000000FF6E9A00000000000000000000000283
3A0C3B84D146E13E2C5B24A76500E52040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (04B0E4CCD0ECC321D90B483D3C3C8AE45442F55D44556C88000000FFCB5600000000000000000000000290
7151B758703F1B53334C546C8F311485D06858756C88000000FF735A00000000000000000000005228C3C76CD369DA9ED1A8
664E1B8593E4D23D11890EC82E28000000FF1FCF00000000000000000000000A259B961149B21CD04D589088116428000000
FF66DF0000000000000000000000002827145BC78753CCB6DD43D0C56870894487E20B1D8228000000FFCA19000000000000
00000000000A858726E964D90AA6DA6C0F8489268844526C28000000FF914B000000000000000000000000004A0000000000
FF25100000000000000000000000152040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (624908000000FF9C0B00000000000000000000000F6D48E2CA21D11B282297661CA1A1708512CD20000000
FF1A7D0000000000000000000000678FE4516544D146564D5B85E10A709A8648A138D6A08E60000000FFF865000000000000
00000000001C8A661942B115926D87F98444964642F0305D08000000FFACF6000000000000000000000031148C328505D607
84EA164517289A864C34D308000000FFBD250000000000000000000000148AE4516D43A1E5959BF62369D3B09C0C0E8AC344
10A2584E88000000FFC84F00000000000000000000000A0896C3CAE4D1B74D8AF172F173C91C1D0B31C8B0FAE288000000FF
1F62000000000000000000000000952040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9194A1D21652242045059428000000FF50B500000000000000000000000225B1DC470E3624E594E1641144
9A892844BB4CD17285C4000000FF5F44000000000000000000000044165842DC341A7648D0B14D8F07D947981E9048717130
D8736C28000000FF773600000000000000000000000502FEDCA44B3E59787C0F465F64530B04684820000000FF0518000000
0000000000000000018706709374EB4C87C98B7892E14D931611414276692424000000FFB07A00000000000000000000000C
BE345BCE1DA0E8D229DA89E33987E238BCC870A0EA9A26C9E98508000000FFAA0B00000000000000000000000E4289C0E544
87A791493A4148C144644E106100552040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (7282B1CA441F03E85722A906873A45107C28000000FFF806000000000000000000000005950C36441F264F
64E1CA140BA28391429428000000FF2267000000000000000000000001650B49126F91694281C5C4968E0742347282280000
00FF49E30000000000000000000000E49361B276498341CA17D8BF2386E8314144E8625C307C28000000FFEB9F0000000000
00000000000000587C8A66A0E590FC7A45361CE8A1211E14469428000000FF919400000000000000000000000049A8D887A4
8CA8B26CA1948889089428000000FF827F0000000000000000000000005942CA4650B485110505119428000000FFCCDD0000
0000000000000000000016563200D52040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (69457361B28811274C20000000FFD23B00000000000000000000000E5A326C85D1664E5251669463BE4542
2C213848B2B228000000FF050300000000000000000000001CD22CB03A47E836C3743AA25E2711C87958A44F9346132311B5
71DC6E28000000FFAB9A000000000000000000000031D8726F036AD2F74516E2B440F250B0D1309DA0F9D44DA228000000FF
84BE000000000000000000000000B285642CA4592328505110639428000000FFA9CA00000000000000000000001C346D08B6
465861642CB88A251E41107C28000000FF9AFE000000000000000000000000141F4329126C64282924409428000000FF1401
000000000000000000000000B800352040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000000000008CE430224132725898223C90C10311810000000FF9C420000000000000000000000
327291E28B66CE54CDB346D916524568E2B1B485B272A228000000FF6741000000000000000000000007383A2078CF23D134
6CF18607BA6389E83A2A75A1E1A0D2718687F288000000FFEAEF00000000000000000000007228104924111C100C4788202F
09A4B0A085608208000000FFD6550000000000000000000000022CB2F41896759B510AD145965113C9144CA3A84E88000000
FF13C7000000000000000000000000298533B56384C4BA44B43E518F03E592144F18612450000000FF888900000000000000
00000000057299C91258C270A400B52040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000A8257DA8C6312CAFAD4188CE68BC4A86981EC90E88000000FF699100000000000000000000001C
8012E49087E41C8040000000FFB5F000000000000000000000000A8257DA8E9F68357D475672321C445421D950C930000000
FF34DF0000000000000000000000157256786EA1EAE1BC3AB8668C9717E29199B92D2CD4C3787570000000FF01A300000000
000000000000001C82E4E6108EC62709F1E44B033079487CB1E710000000FF997800000000000000000000000150466A357D
A0D5F5142D11C26044559B0D50000000FF0B37000000000000000000000000E1CB41BAC82ECA0BB2582AA178A290DA3E5947
93A15C0E88000000FFCD2D000000752040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0052884E05628A625A1619A303CA4885133200000000FFA81B000000000000000000000039186258C3D461
81E8987C5E2881ED68784C30BD130E07654BE408400000FFDBF7000000000000000000000004AC402244260E4120000000FF
488500000000000000000000006BAF28A174A225A964385683A2C84E888AA8A265112C88000000FF3D370000000000000000
0000000B2E22CA1657168D92C3CA41E10B2AD193A508000000FFABB40000000000000000000000720121304C3042730C10AD
1C4C113118188D2184EE8208000000FFEA1C0000000000000000000000002CA45944A7648B38A2CE2288B2140B8B28962800
0000FF568F000000000000000000F52040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (DA4E68000000FF87DF00000000000000000000000B2AA6D2469459346C8A36858A5245729428000000FFCE
4E000000000000000000000002CA162B0B2D343876A9F17286619593499EB4DA1628000000FFF3A600000000000000000000
000E4E1CA70D93860794316C888E4EBCA2A187E70F9C4C307D13151324400000FFDE750000000000000000000000016530FE
851CD94B8936D1A4E43E24C2CE22808F68F0F8F2000000FF74D4000000000000000000000000A1DAD646893A0D1BA4595946
10CD0BC6E114C832F228000000FF16BE00000000000000000000000032E44412594A85C2761D2CE46618258CAC3E59000000
FF9AEA00000000000000000000000D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9CA14C0E5746E5116C28000000FFBB460000000000000000000000015E2EBB5A5DEBAD56C98B2E30C47A9A
24E19551521A30D84E28000000FF21A600000000000000000000000016520F29197185909D19489173214198668DFA280000
00FF096D000000000000000000000000ABB2EAD99E8BA76AB92EA5E30A9689C2EA1A8343819531485D22DA4628000000FFBC
97000000000000000000000015E2EBB14ED755A2EBB87932EF5D6BB54113088768F9452DE228000000FF71F2000000000000
000000000001650A232B9386C295444C21A40E4DD228000000FF2124000000000000000000000000AF175DA0F6A2AD175986
6C3CA462357032381B2698AE41008D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000015765D4333D9390F5725C90DD1752D43E20BC255D1350D571654272A1668000000FF816A000000
000000000000000001445122C6196CC9D2C0CA3C20B290D82E28000000FFED12000000000000000000000015E2EBB0B4AB45
96D56473B4452B8182A9AC587A6C28000000FF63990000000000000000000000002CA8A26298874691595A8F2290C214EE07
2A1628000000FF8661000000000000000000000002CA199B89910CCC33592BD2856294896154B262D88E28000000FF9CB500
00000000000000000000002CA19C32A83A44B61C2B54C1849141981499AA68FA2E28000000FFABF200000000000000000000
000016521D87971465E51342C4004D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (72BA0000000000000000000000000B870D30F2B0E503D9303CC306E848B323A1649428000000FF966D0000
000000000000000000051A3B0F124E1B30F87645352CC213E916D0B38D22DC8E28000000FF73D00000000000000000000000
00A3205D46E13651BE61A41148C28D830CA0932A1628000000FFDBD300000000000000000000002BC5D46AC2633E830EE114
3B21D0BECCF3C0D4A0F0DAB81CBA836DE228000000FF7BA9000000000000000000000000A4289185132A92648E121042D249
C29D9228000000FF7BD4000000000000000000000002BC5D46AD0711D1649D86CF27AA562C668C22165DAA6CA57A168DA228
000000FFBE430000000000000000CD2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (1B2E89938590D3632658B282EC38000000FF31BB00000000000000000000000C9998446511B745A4C3E284
5B2328594C98000000FFCCB200000000000000000000005A43CA83949B49B658FA5D151C51726DACB88B0D8D04000000FF57
8200000000000000000000000052102880C360C349C0E89C4691C31D82E4D316566D72000000FF50BF000000000000000000
00003471191385930C53B971DC28586613C28610EC059428000000FF74C300000000000000000000007208B1188EC3A14652
5470EC85C2644C84450748D19428000000FFFC3E00000000000000000000001C85442BECF0724EC307D0B865261A647B58C3
CF34190E4D98728228000000FF002D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (82F0B274A17818000000FF47450000000000000000000000015A0C29A2568BC3CCBD6AB568BAAD23A0CAD1
6AD4741D16AD1685A288000000FF82920000000000000000000000E448038C38C46540C41110CC148C90000000FF34670000
0000000000000000000AD0641D1E55AADC99755EBAD56479564707524C5B551B0F10000000FF219800000000000000000000
0000150461C9B87039715225C20A8D91350D0990000000FF737B0000000000000000000000039090AC032C2094E24820A13C
4C70000000FFA8930000000000000000000000005330F2B0C4932D22D28C283BA91CAA5930F28501000000FF0D2B00000000
000000000000000290889EC36600AD2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (64D9D86E2B44CB0F2C0EEA3F0A267C2498000000FF0B9E000000000000000000000000651E790087759449
240530000000FF63940000000000000000000000022094C212E82485245CB18610000000FF17970000000000000000000000
0081980E24C561B837A43114C477290E9C4C70000000FFADAD000000000000000000000000438E5184184083D0F224099000
0000FF3EF30040000000000000000000002A68895B2C1F1AE15A249D5F55D0624C587D5D4DBD487A6C28000000FF2FA10000
000000000000000001CB11C8911C4852E58A13004170000000FFEB130000000000000000000001CAAE28CB37955D970ED131
53B70EADAAE2F3A3BA6ADAAECB006D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF97DB000000000000000000000000434A10921210120523A508000000FFBC98000000000000000000
000008A16A0D911FAD2888A5644D44B22C916488000000FFBB27000000000000000000000004474890CD894ECA28398B20BC
A62F779720000000FFDE42000000000000000000000005D1654345922C91645321F5C0D1087648B34A2B7E0688000000FF31
DF00000000000000000000001C8B2A862CA238B2A3DBA7E13B0F48A62C219B0E4F84E2A2F08AADA56C98000000FFED510000
0000000000000000001906A7ABC1242B14F27AAE4B47AB90E63759E52B9CE2342FDA8D9040000000FF7EE000000000000000
00000000141CA4A2C88EECB0B500ED2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF8474000000000000000000000021969443B222DCA6C9B2CB4E2985629964C3F0DC542C8B20000000FF73
F8000000000000000000000043289346C2CA15B8E859934D4B10B365A4567D47D84104000000FFE0C1000000000000000000
0000148A2DF243A364A28FA2E3AA7AB27085059623A0C5325AB2ED08000000FFE52B00000000000000000000000526CECAC8
B4D850CE1005941154B6729308000000FF1827000000000000000000000002A3624D1B12D8746D5361C53130C66526CA1590
A8D94E04000000FF465A000000000000000000000000165232859429374B24A2422CA159AC92C38B60000000FF0024000000
00000000000000000000000000001D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E9C931289844C546C9E8E581E1A428000000FF944E0000000000000000000000034729146C8CA48A08369B
614CDA4DC0E2D3CE3C8288000000FFBFD40000000000000000000000108A0FB516C921D1B0A31D20ECC42CB218ACB1748800
0000FFD4FB00000000000000000000000016521CD386F1143B23C544C9140FA45422CA142B4612CC88000000FFE6CB000000
00000000000000000622876CD066D8527C1B49B258F32C9141650A15A1A488000000FF529F00000000000000000000000338
6518F5965461B64C565B3859A50B5228B7298A0F916508000000FF827000000000000000000000000219449C3661C3B25870
AD0B244CB651A8916508000000009D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (D3000000000000000000000004A46923285942CA08A220B2856428000000FF3E1900000000000000000000
0002BA6E4B1516A1DBA2CA88B285626A8BD42B84516C28000000FFECEA0000000000000000000000009944394B30E57870DE
2C9C77A98BCD28C86349B15DC39428000000FF87DB000000000000000000000005948A2CA329C3F50C368428A1FA126D9028
000000FFDFF900000000000000000000000596121D133AADD2287344E5961C62BE4E50A25A460828000000FF073500000000
00000000000000065D1B14CC387DDD45F51DC3970374C57D0C5187951774C5F854314C28000000FF06E30000000000000000
000000022E1CAA8C3B048796C3005D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A8650452203874E228000000FF624D0000000000000000000000128594EC3E70C9B0463942883A460A2C85
A428000000FF120E0000000000000000000000129194883EE4E5045941150C389D05A428000000FF958D0000000000000000
0000000252328C386C2A365284506465346C9228000000FF85E1000000000000000000000018686C9769B507285942D28C28
812729A36428000000FFD4FA0000000000000000000000010A5258726C17441F03D8707C258B708A30E061059428000000FF
BE3C000000000000000000000010D91948CA165294308521059428000000FFDAD2000000000000000000000001285A48CA16
5250A2222C856428000000FF7600DD2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0F215E23194D2A4330000000FF682000000000000000000000000012162492CE0666230881EAD8B9140800
0000FF9C880000000000000000000000014CA239B2D93D1CA147B21D0766144A198A1B50ED3228000000FF130B0000000000
00000000000031C65055A6EA7141F09DA79703C8F1F5C98E2A6487751B568C6E28000000FF756C0000000000000000000000
01CCB0F872852EC164D3B640FA5D84C8B21B07522828000000FFE76A000000000000000000000000948CA1650B2856488290
8E1828000000FFD34E00000000000000000000000C5285969465A5CF94154C5B0A72066C85A428000000FF1B8A0000000000
000000000000018E523291942C003D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000493282EB2098729A38EE934592205A34876B20986C8288000000FF0C4700000000000000000000
0081C04950C1C40D30C8E90F5304258BA43049C410000000FF6F24000000000000000000000000198761651B29A396C9E8E5
24521630CAC8A4DA1628000000FF1300000000000000000000000003103C53B0CCF42F503E1448F44D72BD13479D4D190D0F
38C2F48ED703D418000000FF9CD600000000000000000000000080928D26490381630994F284E4355E19B030000000FFC0D2
000000000000000000000000669D59127A8C3D50BA0D938D1283E79142B22CF288000000FFCB900000000000000000000000
124E288B2A0E2B03258AA689B300BD2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (50A22C89E49D07C8B2E228000000FF7A56000000000000000000000000AFB51AA08266A7560F20E079C7CB
9564BA8F905D4347510E88000000FF68F600000000000000000000000006461C8E4381042600000000FF1A77000000000000
000000000057DA8D584D1259A8D5F5112D987515F6A30CC57D0510000000FF4B61000000000000000000000022E1BA87AB86
691EAE1BA86AB92A1C274E2999AB86EA1E67C987A9C6E91EA608000000FFF3A5000000000000000000000000E58C8E4B8C44
762C43985CB131C530000000FF9B0100000000000000000000000196CD46AC1E0ABED46AC0E0568822BED4622AFB41AA0800
0000FFF1640000000000000000007D2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (040801EC934910CC880A135224000000FF3A300000000000000000000000E59636CA15111D0990BC713142
E13450750DCA20000000FFDC7D0000000000000000000000923885647D18E07B16AC6381E8B91A6478C3E42388E0000000FF
C4BE000000000000000000000008A072400013C91520000000FF8BDD000000000000000000000002136427D44B22C920B244
2B25135F6144C3851C2C88000000FF2A300000000000000000000000094236C2CA151B3335B4C22B1151BC45219508000000
FF4EB800000000000000000000000042B50F0B04C872384E54C1024A83132988E91C2608000000FF06B50000000000000000
0000000648B38D0728246C934600FD2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (950C12C113AE243B4721AA28000000FFB7D100000000000000000000000CD66AD174DAB45D76AFB59A46C9
D2148865CA5B51F268000000FF288300000000000000000000000A48CA4652925F9A6914299CA261C22828000000FFCB7B00
000000000000000000000129116C2CA52B5D30F030D971022B8AC99628000000FF36E3000000000000000000000031948CA7
0C1F634D38F3CC3CC2E183FC88FC9CB03C28000000FFCB8B0000000000000000000000025E687979147B11318E9C5B3C2E14
79C8C6A514518EDF0C000000FFBB1400000000000000000000000129194C3CA52041C513066C9215CB0F8D2C78000000FF87
4800000000000000000000000000032040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000009437445325194192ECC334478B28574183E8B19D228000000FF101F0000000000000000000000
04B0A28DA8394949761E9A45622CA88C30A85C0E28000000FF5EC8000000000000000000000019AEC47C37AEB5DABED764A9
0A0B2E0ECC2EF96CBA28000000FF2579000000000000000000000000948CB6465188ACA11166961C870A499628000000FFCB
C30000000000000000000000001756C4BA4D3B54E9756D5705D430DD8752C178508B2B228219B270F228000000FFCE5D0000
00000000000000000000CD66AD164DAB45D76AFB59B381D56A5E062CC15060E2A7DB418228000000FF9C1900000000000000
0000000000530A329194A5142D00832040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C3BE61522868000000FFEBA800000000000000000000003351ABD5E0755D175185A49A2F1364478F948784
49DB45BA28000000FF782F00000000000000000000000005D0755DD741D5725D430B0988E49866A98241E9452B1491F1789A
8628000000FFA7A6000000000000000000000001492230E4991A5470845314238A2DB228000000FF49E80000000000000000
00000000C976AD1671AB45D7633643E546836AC82C9A0A2DD228000000FF9E4000000000000000000000001291119549223C
2ADD2884494415DB19B228000000FF3944000000000000000000000000436461323290DEA2C3788A09D112E11509A8D94E28
000000FF866A0000000000000000432040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000003C165E4D321E4378C8F64E324C450982A4C975C30CC28000000FFDF9A000000000000000000
000004C0E75C1F557C855064BCBECBCA464A86A1C2725A30DC28000000FF018C0000000000000000000000063C8E305C4399
161B85105E90448B2943522828000000FF7AB000000000000000000000003111A4D5182C37AA22986614EE18B70C2878714E
28000000FFA5DC000000000000000000000001C85A643440B2619C8E43A370380B264D922F215B461DFA28000000FF71D000
00000000000000000000019A8EABE2C33D75A8CC99A689EA29A20B62CB6C9A5A4DF228000000FFD9AB000000000000000000
00000090A225A19EA892602B6100C32040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000E410141817009D8884185270000000FFF4D40000000000000000000000393472FA882C
A61E900856320A50C504000000FFFF50000000000000000000000001971C1FC0F5A0D6A3749268986648DC98662138A8D228
000000FF0DA7000000000000000000000000459594410ACF22CACF2ED22C76E4170F25F228000000FF6DA400000000000000
000000000034F288762C3A2C90F0D92216C3CA1308AAAA68000000FF60A10000000000000000000000013CD22C3741EA8993
03DC5A2602661166C45A4C38000000FFAC8D000000000000000000000000C8D9CA30D1645459D497E48F2C986D4165C3A428
000000FFD4EB0000000000000000232040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000023ADD6AD07CABC5EA49D97559CEAF5C3F55A25B2D228000000FFE5E000000000000000000000
001CB109D898E208012610411C172590000000FFD5F600000000000000000000000E54BFCD1F55DA32AECB8BF8B2EAD841D9
326D533491E261DA8708000000FFA8AA0000000000000000000000015A2E8B56832B65FB55D0615846AF6493A0C49A2CBA88
000000FF46270000000000000000000000072E40822882390AF909C52A4C08000000FF221A0000000000000000000000056A
BAAD5A0CAD0695AB4BA160DB664330D1198790000000FF48170000000000000000000000000B282294909A67268C9B0DE105
688D90000000FF6A120000000000A32040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (FF638A00000000000000000000000036511E196F914DB34C17C533E4C5B14C544C341CC3E59747E9113000
0000FFD9460000000000000000000000032CD470C9741BA042B1A15835184F338AF649641B0F5220000000FFB6AD00000000
000000000000000E456623E8C4B50625A860BA164D965121F161F1E48F43E90930000000FFA4D90000000000000000000000
02830469017124F2048800000000FF52F50000000000000000000000021081C0E934809105086300000000FF6EE100000000
0000000000000001C86E0239C433C47213B10284105270000000FFD4D4000000000000000000000000123900804405648D90
000000FF65480000000000000000632040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (48000000000000000000000000507C8CA16109E981E947614849506A794410000000FFCD81000000000000
0000000000059694B4B46CD5623E265D3354C5E447871E4128EA08000000FF0BCF00000000000000000000000059408B664D
91151C5A4C990B112480000000FF0024000000000000000000000000000000000000FF950300000000000000000000000C48
69052879086900000000FF173B00000000000000000000001268B24598CD1D40C2216443945900000000FF93E00000000000
0000000000000E49E4374610219F20AC1C71041569C5CA9420000000FFCE6E0000000000000000000000024D0B22CB28EA04
25D92303912268A9708000000000E32040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000001650AF03948CA880DB485026186D9290000000FF1AF3000000000000000000000005B2B99496
1C3BA408A7C592688AACC26186C2B220000000FF5435000000000000000000000005A7144122089E98C2BA1C9B17D97E5818
8E2110000000FF919A00000000000000000000000246C3A260BA25068845485C79851230F22610000000FF87590000000000
0000000000000622D223A34F228578928587A7965C984E1000000000FF78680000000000000000000000005A417469327AD2
50F4B64E96456308244B1170000000FFD86A000000000000000000000002165D1B84D10EC4B761A1FD0349936F1DA50F0384
6321D4315C9D0C10000000FFE200132040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (F2A34B114C3859326C0890000000FF0B6700000000000000000000005A0FC968856449641334F27C8A2452
56936040000000FF832D000000000000000000000007288B0D8AEEEB4A3A1B4639853A4730BCC86C3F2A9B0E8FB227100000
00FF225300000000000000000000000C5C39714AA9A3A82750CC7641754F8B72C3A389B0F2834B10000000FF48AC00000000
000000000000000E4D183E4BC986CB92514513A0C505722CA040000000FFFC09000000000000000000000000B285659A8D23
24A45A5114481432909284000000FF20F0000000000000000000000001650A0785643F85C4750E156C281117851B03C8B244
000000FFB4C60000000000000000932040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000BC9A3A4881E8E504C3641748448C1700000000FF2976000000000000000000000000
A3874C4688D1876C9361582E88A07CACD300000000FF50B6000000000000000000000000D192C8AC683A62316504514F5721
444D1B00000000FFD6EC000000000000000000000035141612348516C2B16901486500000000FF8818000000000000000000
000000A0B49105950CA441416900000000FF4832000000000000000000000005E4D1D24470E50543948F21416900000000FF
485F00000000000000000000000188A0B0D568D2708B0D850EC2B0682CB0F2B2CE20000000FF02DB00000000000000000000
0042C799171222985669B6A7B400532040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2E80000000FF77020000000000000000000000042628341B4F2DA6E1E1781B6E6DC460BBB03981A260C2E1
B93880000000FF38CD000000000000000000000008E28376ACAF0A1582F0CA8E0624C8AF033A8B2880000000FFDF41000000
00000000000000000A0B2856491CA0C208445900000000FF4A6D00000000000000000000002262E348A8DF2ECA0A872E2A51
31084E2040000000FFC06800000000000000000000000028E183E0A32B03941521184C5900000000FFCCD900000000000000
000000001A30594883E865546CA21308144390000000FF912B000000000000000000000000BC8594ECA4C32F28B30B9D0E11
103F9390000000FF3EFF00000000D32040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (3388C900000000FF7FCB000000000000000000000031144B0F2C36D389B2FAC3D215AA2788662A360B8000
0000FFC6320000000000000000000000303479D4CB43E931C4F449703D43A2D0F6511121D1B5D900000000FF4E3A00000000
000000000000002C711810CC092213E63304104261983480000000FFB740000000000000000000000001111648B30A2CBC66
1EA4509936A194E8857C80000000FFD1DC00000000000000000000007204B0513A5971CF1734C24EA6B2B04B41E500000000
FFD7C60000000000000000000001CB253CD07A9109E480F0108200000000FFA8D10000000000000000000000014168ED0ED2
317D23F9326C4C34A2470304CC00332040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (E55F6A341F5422588E1166A354111F2195668300000000FF22970000000000000000000000000248120840
E400000000FFC21D000000000000000000000015F6A312D9442B64108B351AA0B51566A300000000FF6BF300000000000000
00000000D5E950F0F0CF43D5C27024AD30CE43D5CD5C96961BA86080000000FF9EBC000000000000000000000001C84711C0
A2C7914E43E4E7173CE200000000FF3253000000000000000000000057D45D668356138410E2A0B511668300000000FF9669
000000000000000000000007216073AC82E9360BB2C8751DB60A288DA302EB2080000000FF445B0000000000000000000000
0911C0F249043724321981207200B32040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2A000000000000000000000007A894E36D3C91309E1A61B442686500000000FFB55B000000000000000000
0000E443C4E14D9D4C303F932F0F03CC312C2FA0F918EC912BC47440000000FFE12800000000000000000000000041A40641
A4010A20000000FFF714000000000000000000000002938541B224D950685E34BB28A210EC91548C20000000FF4F9E000000
0000000000000000187C50C36A18B299796916511D9305942CA840000000FF1B7D000000000000000000000000B946437753
E52313C8571181010188C900000000FF7AC4000000000000000000000000285317D546C828B24592225D648B2140000000FF
F7E5000000000000000000000000732040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00FF9F9D00000000000000000000000153443DB4DAB45D57AEB5995F68B709A64E1A493E4100000000FFE6
AE0000000000000000000000014159B291644746915B6450962D388080000000FF21E5000000000000000000000002828B61
650B2F28C36E8A6074B345A46840000000FFE07A0000000000000000000000E56F270C5B3862B9C321D67CAB792A68991E49
C0C0000000FFEF1700000000000000000000000A0B285646761C7368C595915118E162099440000000FF45FC000000000000
000000000000A0E3C9A361416912C516C3715430A0F26A8A20000000FFCF56000000000000000000000000A44829184B9534
824B249947111220000000FF1900F32040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (A76F000000000000000000000001487B268DE24389684321429E074F22876140000000FF00330000000000
0000000000000518716D4193614A0D90A445A50CA840000000FFD30200000000000000000000001535118B299E47D2AE1751
ABAD76A9A0B4AA85D36840000000FF04C40000000000000000000000052162CA465A45969E5905C508E2909B6440000000FF
07520000000000000000000000157144B0E8DA2649866ABA6EA1A16C9C56AB8AC452AE8BAB6440000000FF88E60000000000
00000000000054D4876D76AD176DBB45D7661EA4D92290D263EA00000000FFF01A00000000000000000000000A23ED16C8A3
67423A28D856B81D2479000000000B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000A0AC91430D0645922D4DA4A1CAC80000000FF5488000000000000000000000015
35AF1755D974588F843B56934AEABA0CAF1769AA85D76E80000000FF4BE30000000000000000000000055C526ECBABEAB91E
91829AAE4BA9EAB98B2EA9AAEDBAB6A380000000FFBCED0000000000000000000000005622CA21D43334919405A42CBC8000
0000FFCE1F000000000000000000000000A9A5B5DAB4187764C9B6B55AA691B6B346DA6D1140000000FF521C000000000000
00000000000505948E2CDB0D9164284F169A45969140000000FFE57C000000000000000000000000526E2CAD70B41E116D33
0590A0A11C50EC9340000000FF008B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000000292C8B09288242C3BE5126D418A4944880000000FFE0FA000000000000000000
000072162312DC3479461B3261B928CA084C61896880000000FF52BB000000000000000000000039326245E51A8E509C5A79
2ED1F1790485F1742B00000000FFF3070000000000000000000000014140F50CC395D30E500FE5113082E86900000000FFD5
B000000000000000000000000A4DC567930E3BC4516D3388D92222649B030CC4B040000000FF904B00000000000000000000
0000114141F232B5042DBE0C38AE68E900000000FF541E00000000000000000000000A9A5B5DABA93665F0ECD98F95504A9B
495F6D264980000000FF103700004B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (DA2575A8D132D900000000FFA76E000000000000000000000000622D8597943C331B28531959845B000000
00FF76F600000000000000000000000097B92230CC89248870082711D100000000FF329D00000000000000000000000A3470
3B2EB10170E5068B47148E1271261420000000FF929D00000000000000000000000168C9C24612168F22ABE69A20907C5489
4460000000FFBADF000000000000000000000000A0B49126C886876024511900000000FFDBE6000000000000000000000000
CB82F246549C5971149F02C4504B651220000000FF81AA0000000000000000000000E4C98E5E0ECBA2A6882883EAB28E2838
6F8BB893C920000000FF0F9D0000CB2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (19E4C4E24900000000FF51ED00000000000000000000000041210381E481B0C0E400000000FF0F0C001000
0000000000000000000A9A0A1DAB759364B26CEA2B2AD0687526D5D68B00000000FF5C5E00000000000000000000000098E3
C82750D90AF9A71E40578F2CE200000000FF3CDA0000000000000000000000E557149BAC78FAAEC9D7F4E8E0FA16E18BEAB8
A14DD757D5775D5B00000000FF0E7300000000000000000000002ABA0DAD1755AB55B2ED4785987AD578D06468BAADDA2EAB
00000000FF023C000000000000000000000003223830C91C8719E0E392791200000000FF214200000000000000000000000A
D063343AB55A28A22791ECD5E9002B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (6088F0000000FF1B0600000000000000000000002B34A8B1E24D9E51648B34A223F28897490ECD341EA000
0000FFE14E0000000000000000000000048A0B291ED1DB6A3BAEA9896C3A7E23C303A96293669E90619400010800FF948300
000000000000000000003ACD0E92C90AE4B05506A3EAE13A0F9B12EFB8B215D06539A838B9000000FFD3F900000000000000
00000001C9C3119551897892E5DE243B389A90C5D443CA36509010010800FFA2A800000000000000000000000111B90CA831
E88F242C1200000000FFB3B8000000000000000000000000092223119165D640631480000000FF1C30000000000000000000
000004BE9C1C88F525188C2BE400AB2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000048B0A19613A1F13248F87DEFE934D137B81C329A229A2E9710000000FFAFA200000000000000000000
0003860B2919428152150C2234820CA0000000FF688300000000000000000000001CE22B0364E5C0E8336E96CD8A47CE28A1
78510453F79520000000FFB654000000000000000000000000A8987948C378B0E882E87C28F24264C856A590000000FF0024
000000000000000000000000000000000000FF9FFF00000000000000000000000011090D2015284840000000FFD5E1000000
000000000000000000A0C30D922C928B24590510C91648A260000000FFECA50000000000000000000000091A83E433C45391
C89F2EAB03A341861D20964B98006B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4D530CC91CA0000000FF92B600000000000000000000000A0B28524C961C42C2B90C21E10ED08565200000
00FF66270000000000000000000000014B268C2D1CA68E50B41A8E85B210CA4A46AFBE8A60000000FFE98A00000000000000
00000000015963C130D4BAEC511E125118C3388D0BA6930F81E3490E26144C08000000FF10B100000000000000000000000A
659756D903378C11C2BC4C5418B3686A4270000000FFF272000000000000000000000003468CE19465976524C551C8B11623
338182899660000000FF64C600000000000000000000000390B0A36C370C2A5D2C3A378F24392061161A44F0A6A690000000
FFF48E0000000000000000000000EB2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2F0876A18A09EDCEC964E5A4D48C08000000FFE3BE0000000000000000000000024768541CDB43418249CA
31F461DA10341CA4F468FC96D98760000000FF84690000000000000000000000088A67538EC8DC229D178DC2CD8B7E1C250D
194164E5A51940F0000000FFB68D000000000000000000000001C751D083E5BC2A0CC346D0B171309C258F36E964F1B11ED4
6F90000000FF668C000000000000000000000007214143285A488B7C93484C262CACB472828CA0000000FF84DB0000000000
000000000000002E1F2841F0B4910ECC383E0C20CC59418696619408000000FF61F700000000000000000000000061DCE672
99786E9771B47D0DC22E2343B8001B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (9E3A7DC0C64E9050B64188C0000000FF406200000000000000000000001C8D94E1CB41A872B28D216918F0
30514C187D00000000FFE86D0000000000000000000000002D36CC32A308B74990F28207E11448A4D94726C148C0000000FF
5A8A00000000000000000000000345B2170DA1948D2B65A70305950CA840000000FFC8780000000000000000000000005950
CA165232928505A42D2440000000FFA094000000000000000000000000165432859461CA16504505A4D183E140000000FF71
D8000000000000000000000003161D3B428D997C3A368390E8DA289E363416584F87948A90000000FFB7F300000000000000
0000000005B282F0CA64E53B28009B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (DCC38CE9ACF430447A470C8615EA2580000000FF725A00000000000000000000000063DB2D22CA4F270DF2
282E8834D30B121D924C87979620000000FF151F0000000000000000000000045950CA1650AC85A4882B276500000000FFC4
2800000000000000000000001C98756E964E504516C8CA26584C50550C25A0E040000000FFDE5D0000000000000000000000
01654328527C138E53860FD26B11590840000000FFA1C50000000000000000000000008B285942CA46543485436824790000
0000FFA977000000000000000000000001C3950C3608B2A28C3642E94452C6C3B230C840000000FF0D780000000000000000
000000070E5347282919360A34005B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (75000000000000000000000028665C9F89C61789661C1E30C4F0BCD303C882B31C2F30CCF52E2BA0C00000
00FF6247000000000000000000000050D21C96CAA388C104902199341919C4698C2B64C7B240000000FFF8B1000000000000
0000000000008A2CC28B245911E35A288247922259112C1440000000FFC4A60000000000000000000000724814CA6144DC4C
2F8510EF0308FCC36CA0E0830920000000FFBAB80000000000000000000001C8309890F9E5DC414C1854728B222903800000
00FFB42E000000000000000000000000C793659B0F2AA76CA17505749143094B2040000000FF406300000000000000000000
0001A3A6EC375E5A0DC356E83600DB2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (04CD0617B2A192E467ED86AFA092ECD460000000FFA54F000000000000000000000001573170DD43D0C2B4
8F570CEC394C33C7133230DE1C2C332274C460000000FF244F000000000000000000000007224021CCB90F147112438F218F
1180000000FF91BB0000000000000000000000054162CD474F20ABEA18AC11668345911EC820000000FFE712000000000000
00000000000011598DDD97432CC3B04A764121E5CCE83447D1857EBA8D926A20000000FF4063000000000000000000000000
981909C47082774B24C7149288E2390C4E20000000FF5CA900000000000000000000000016544D97948764D1BC5A3A6C2936
9B8AA1E1942D2840000000FF64003B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (4200A44B2728809C00000000FFC635000000000000000000000009D42B22CE28B2450BC49342D49A862992
68591649A0C0000000FF4B180000000000000000000000221690A2DB26CB665B0F28586C914343B245959840000000FF3531
000000000000000000000072012519F291C2613B4B2516414464A0743E80000000FF0C32000000000000000000000000B291
6487459229588794945CA2158879523340000000FFCBAB00000000000000000000001598D957DA8C3DE2EFBCA0F09E833566
8355924CD460000000FFCD2D000000000000000000000072C439072C0F105483884EC8E23220000000FF7992000000000000
00000000000541E558AEA3CAC100BB2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (C935CB1E8B28A36480000000FFD2C100000000000000000000000E4E183E50C2FE4CBA4E593E90C1730C83
C22A289860FA325844000000FFE2D4000000000000000000000005979065C70712C3361E56B4934E28D0609300000000FF65
DC00000000000000000000000B0DA246C3A6CA49B2EA98081ECB80E27880000000FFFAD900000000000000000000000048C0
BE2478124C0A20B98622838640000000FF791700000000000000000000001225086625C309D36D2858A1611E12ED084BC0C0
000000FF61CF000000000000000000000010E899FCC26181F8D8615BA69F1FC987E3A8389E527D958E0BA8E61884000000FF
EA340000000000000000000000007B2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000000030EAD91A42CA450B41D1A4D114D45BA51384C990C0000000FF3B0100000000000000000000
0002AF6A36AB92EA9AAE4BAB6A990FA9870BAA623815C2E8DAA69BAA6C80000000FF9A7A000000000000000000000000AF67
284ECD7665DB5DAB49C364DA298666B5068330EA324F5840000000FFDA4F00000000000000000000000B2D9285A449876C8B
4D222C48D28380000000FFB5A1000000000000000000000054D26D1366BB23D0BAAD5A0CDBA759591461A24499CF58400000
00FF5BDB00000000000000000000003272A2E949C0C24E544586D114198A488F4D2040000000FFB8E2000000000000000000
000000B1DE2942CA163B12C12C00FB2040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (CA4794309D1969165851329469D140000000FF597C000000000000000000000054D955B683479455A2EAB2
6D86D0A9669B46D948F140000000FFA206000000000000000000000000C9A37C8B8B9116611654203C1D8556F1A0C0000000
FF46310000000000000000000000061C3B23489E8585645966832ECD334A1A328A7C3CA140000000FFFA3F00000000000000
0000000032EAD91A50B24A3158960CA4824528536E9440000000FFD6920000000000000000000000068E50A0B28936514A8C
20A1D9279523C0C0000000FFB0BB0000000000000000000000054D955E2EA3568BAEC8FA0C9B335DA17082BE2E9B2BF0B971
48C0000000FFF996000000000000072040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000000000030E1DAA6E5E364B0E1DE28FACF4634F032862D284C80000000FF37FE0000000000
0000000000000164D1B0B291E293E44DF842203DA30CC6AA3340000000FFE956000000000000000000000002A6CB09A3DAD0
7F5A3E518D9A8F1158B9749AB6D46140000000FF533000000000000000000000000061E50B2909164923648A242DA1C71840
000000FF6F3F00000000000000000000000AF1E5D81E2D364B20EA49A568F175DB34191E2EDB550BA8C0F140000000FF9906
000000000000000000000000ABB26ADAAECBAB6A5C264EAE4BA9687B271D92E89070DD1B4DD978513840000000FF75990000
00000000000000000000B2E2C900872040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (942CA165230DF242882B264140000000FFA9A500000000000000000000003961D1B84D86C2CB0B9261DA95
368D1B212340000000FF1C3800000000000000000000003964E1BC5C3941590EE9864D967C5BAEC3A1A56D9A86441CAD0B25
10000000FF917B0000000000000000000000336CB48B29860A2469BA0CD81DD85111C55474199040000000FF4BDE00000000
00000000000000E64B16DB44546DD70E584C307E1E2C159152C90E8F2840000000FFC3FD000000000000000000000003909D
930EE970C9BE4547C9725C7B05A32108E2581E450380000000FFD5DA000000000000000000000000B29C394283E475207461
3105E2384C80000000FF54EB0000472040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (2D5A2EAB468BA2DDA0C8D1ECA341A9A0C0000000FFA29C0000000000000000000001CB9024F2C790678192
556124E2054980000000FFD566000000000000000000000015A0CAC9751D0615AABA2EAB18D932C93458856259F688544000
0000FFF25C00000000000000000000000421650B29A39428674B903C516D0A9840000000FFC2870000000000000000000000
0007920827F2538A2E0704E28623A2041380000000FFBA8200000000000000000000000141FE5A7941C793A0FB2C98944C98
8DD3C4CE2640000000FFCDB600000000000000000000000736C3788B29984C346BB7457483A2498A88099E2610000000FFB1
E400000000000000000000000500C72040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000002B73EFAF4F42C30080000000FF88FB000000000000000000000000011440121C44
01C8000000FFA4760000000000000000000000003C9049E44F11C270660EB21E214325C81380000000FF4EC1000000000000
00000000001C908110C3079120A0F1209480000000FF94990200000000000000000000072A9B2ABC5D7E8DB2AB4181E4DBAE
A2A9B68B551E6C3440000000FFAC8400000000000000000000000E4E58A17C4476284DC9CB3890E72480000000FF35190000
00000000000000000055D9355D5775D5B52FD72FD5770C5BC3DD756D81F383BAE8FEA62B9140000000FF0741000000000000
0000000000568F555A2EAB775A00272040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000004802474820434B1A410000000FF73710000000000000000000000008B245919220C249B244C98
6091648B20000000FF61F700000000000000000000006289990C8722CD38E24887648CF144190C33C8F165218C400000FFB2
B1000000000000000000000006263FA450BA4CF2EFA746BC51428FB4A2CA4643A1E0000000FF203300000000000000000000
000E183E68E5246DC3CB936CB0EADC96291E2CBB0B2A107C00039000FF3A320000000000000000000000092266A326C1465F
49742B746CB593C27D61388E8B06A3C2C482400000FFCCD4000000000000000000000003860791640ECD1E3E455C513AB941
D590ACA200039000FFAAD4000000A72040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000000000004CD8F26C47865C50D85C6D4B865E4E45A610CC87A529204000000FF1B3B000000000000
0000000000019394164E5250B290716C98413B274BA6710B60000000FF60B10000000000000000000000068E5A45961061B9
7FA39ACC989781A388A6D3C360D878E26B20000000FFD9DF000000000000000000000000B0DA26937CF32D06E19968E9A0C5
622877CA24822B20000000FF95BF000000000000000000000000E3CB09B292EA2876841747561DD869488760000000FF13D4
00000000000000000000005B2AD46C946C374C21580B0D81D84E8CA0000000FF002400000000000000000000000000000000
0000FFAF5C000000000000000000672040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (841F50C5C49B650A07D30C20000000FF5C6E000000000000000000000000A0F948907C1349B86144C2B116
948D237A2A20000000FF21AF000000000000000000000000116511D2F246D170C93E450EC471719593A5184140000000FF7D
D300000000000000000000000059494943708B0D94314D184349B6060FE28D20000000FF1169000000000000000000000019
3952B1654528966C3642D3330E1122C370C29D928D20000000FF3200000000000000000000000000A8FA285C378C3F299B65
17349B251C4171433220410EC4B0000000FFAE8000000000000000000000000698B0DB17940CA78CC32563946828A6D5903C
2DD204000000FFEDAB0000000000E72040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000000B485942CA50C16501650B28507C28000000FFFEA70000000000000000000000721695A8D9876CA2
3C34D36CA88F964526C161D92BED6428000000FFC09C00000000000000000000000E3D2618B28595142E9C5C357E23261C0D
C39791653864D92628000000FF36DB0000000000000000000000C5D394986509D2BB690749E3B24519305C5161B2587A0D10
000000FF691800000000000000000000001C836CA50C9BC7994C3907C8D1BE4572D1A26239AC897D1810000000FF1EDA0000
00000000000000000018B870BC289CA83D32F2E1954CD1FE45851364D1B3472A2C9990000000FFF127000000000000000000
000001A3971F0E54868DF2D1B200172040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (0000FF91FD000000000000000000000005A4168E5045942CA00828760C20824908000000FF03FA00000000
0000000000000000B87485942CA68E562E75E428B6C1E904944C28000000FF671F0000000000000000000000387285942CA2
43114C8B4DA109C61828000000FFAE24000000000000000000000002F270C1F2450EC0A1DE2EB2614595088828000000FF36
770000000000000000000000005050EE1145B249A4116550E1E4283FC8B485A428000000FF28020000000000000000000000
00282CA48B2B284C2CA14161B0B485A508000000FF4596000000000000000000000001650B28595082CA441650ACA1642800
0000FFC0BA000000000000000000972040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (00000000089F2494E4C8602881E08382E8D21586F120000000FFBAC00000000000000000000000043B272A
3C9CB08B2A5E8997239289862A9861B68E148CE828000000FFF8190000000000000000000000095DA396FDE8CDF4CD9307C2
BB3D948E981E93521E964D8289E32BA30C0E28000000FF4A91000000000000000000000001164E5858F95C38B68B46578148
DD10C7665B0E32E4C308000000FF4522000000000000000000000002CA1650B28564112194282908000000FF75CA00000000
00000000000000721650A2DC22CA2990F28517C28C383E8490494428000000FFFF32000000000000000000000005A42CA165
2328507C914C8B4FA1A4A4280000572040);
RUNTEST 10E-3 SEC;
SDR 16 TDI (00A0)
TDO (00FF)
MASK (FF00);
SDR 8 TDI (60);
SDR 2080 TDI (000000000000000000000015C3198560092A8C9C8E537209F190C9C0E33240000000FFD04C000000000000
00000000000B65B44C50ECD1C37CC2A981E89D348A84516C28A623C289D228000000FFE99E00000000000000000000000732
B9860788E6B81E43A2A7BA69B291A307A4E8F20B9974791E4991F490E27E3418000000FFCB7900000000000000000000000E
7188C0856210A6C0E3327132238
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment