Skip to content

Instantly share code, notes, and snippets.

@jz5
jz5 / gist:7614327
Created November 23, 2013 12:58
wordpress
// add_theme_support( 'automatic-feed-links' ); // 削除
remove_action('wp_head', 'feed_links_extra', 3); // 追加
@jz5
jz5 / gist:7614430
Last active December 29, 2015 04:19
wordpress header.php
<link rel="alternate" type="application/rss+xml" title="RSS" href="<?php bloginfo('rss2_url'); ?>" />
@jz5
jz5 / gist:7614519
Last active December 29, 2015 04:19
wordpress FORCE_SSL_ADMIN
define('FORCE_SSL_ADMIN', true);
/** Absolute path to the WordPress directory. */
if ( !defined('ABSPATH') )
define('ABSPATH', dirname(__FILE__) . '/');
@jz5
jz5 / gist:7614572
Created November 23, 2013 13:26
wordpress FORCE_SSL_LOGIN
define('FORCE_SSL_LOGIN', true);
@jz5
jz5 / gist:7763255
Created December 3, 2013 03:03
counter 1
reg [15:0] count;
// max_count は 入力値など
always @(posedge clk or negedge rst_n) begin
if (~rst_n) begin
count <= 0; // リセット
end
else if (count == max_count) begin
count <= 0; // カウンタ最大値の場合、0 にリセット
end
else begin
@jz5
jz5 / gist:7763270
Last active December 30, 2015 02:29
down counter
always @(posedge clk or negedge rst_n) begin
if (~rst_n) begin
count <= max_count; // 初期値をカウンタ最大値にする
end
else if (count == 0) begin
count <= max_count; // count = 0 でリセット
end
else begin
count <= count - 1;
end
@jz5
jz5 / gist:7763279
Created December 3, 2013 03:07
counter 2
reg enable;
always @(posedge clk or negedge rst_n) begin
if (~rst_n) begin
count <= max_count;
end
else if (enable) begin // enable = 1'b1 の時のみカウント動作
else if (count == 0) begin
count <= max_count;
end
else begin
@jz5
jz5 / gist:7763282
Created December 3, 2013 03:08
counter enable
always @(posedge clk or negedge rst_n) begin
if (~rst_n) begin
enable <= 1'b0;
end
else if (start) begin
enable <= 1'b1;
end
else if (finish) begin
enable <= 1'b0;
end
@jz5
jz5 / gist:7852875
Last active December 30, 2015 16:09
$sscanf
initial begin
integer code;
reg [63:0] name;
reg [31:0] value;
code = $sscanf("reg1 = 0xc0ffee", "%s = 0x%h", name, value);
$display("code = %0d", code); // code = 2
$display("name = %0s", name); // name = reg1
$display("value = %h", value); // value = 00c0ffee
@jz5
jz5 / gist:7852881
Created December 8, 2013 03:03
convertion
reg [63:0] val;
integer code;
code = $sscanf("FFFF_FFFF_FFFF_FFFF", "%h", val);
$display("%h", val);