Skip to content

Instantly share code, notes, and snippets.

@kratsg
Created March 28, 2017 00:21
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save kratsg/932ca6ff01fb489ee190ea3902c3fc73 to your computer and use it in GitHub Desktop.
Save kratsg/932ca6ff01fb489ee190ea3902c3fc73 to your computer and use it in GitHub Desktop.
with pmufw and atf
U-Boot 2016.07 (Mar 07 2017 - 14:06:33 -0600) Xilinx ZynqMP ZCU102 revB
I2C: ready
DRAM: 4 GiB
EL Level: EL2
Chip ID: xczu9eg
MMC: sdhci@ff170000: 0
Using default environment
In: serial@ff000000
Out: serial@ff000000
Err: serial@ff000000
Bootmode: LVL_SHFT_SD_MODE1
SCSI: SATA link 0 timeout.
SATA link 1 timeout.
AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl SATA mode
flags: 64bit ncq pm clo only pmp fbss pio slum part ccc apst
scanning bus for devices...
Found 0 device(s).
Net: ZYNQ GEM: ff0e0000, phyaddr 12, interface rgmii-id
eth0: ethernet@ff0e0000
Error: ethernet@ff0e0000 address ff:ff:ff:ff:ff:ff illegal value
Hit any key to stop autoboot: 0
switch to partitions #0, OK
mmc0 is current device
Device: sdhci@ff170000
Manufacturer ID: 3
OEM: 5344
Name: SL16G
Tran Speed: 50000000
Rd Block Len: 512
SD version 3.0
High Capacity: Yes
Capacity: 14.8 GiB
Bus Width: 4-bit
Erase Group Size: 512 Bytes
reading uEnv.txt
309 bytes read in 11 ms (27.3 KiB/s)
Loaded environment from uEnv.txt
Importing environment from SD ...
reading system.dtb
29222 bytes read in 17 ms (1.6 MiB/s)
reading Image
12600832 bytes read in 828 ms (14.5 MiB/s)
## Flattened Device Tree blob at 04000000
Booting using the fdt blob at 0x4000000
Loading Device Tree to 000000000fff5000, end 000000000ffff225 ... OK
Starting kernel ...
[ 0.000000] Booting Linux on physical CPU 0x0
[ 0.000000] Linux version 4.6.0-xilinx-v2016.3 (kratsg@dc) (gcc version 6.2.0 (GCC) ) #1 SMP PREEMPT Tue Mar 7 1 4:43:00 CST 2017
[ 0.000000] Boot CPU: AArch64 Processor [410fd034]
[ 0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')
[ 0.000000] bootconsole [cdns0] enabled
[ 0.000000] efi: Getting EFI parameters from FDT:
[ 0.000000] efi: UEFI not found.
[ 0.000000] cma: Reserved 128 MiB at 0x0000000078000000
[ 0.000000] psci: probing for conduit method from DT.
[ 0.000000] psci: PSCIv1.0 detected in firmware.
[ 0.000000] psci: Using standard PSCI v0.2 function IDs
[ 0.000000] psci: MIGRATE_INFO_TYPE not supported.
[ 0.000000] percpu: Embedded 20 pages/cpu @ffffffc87ff48000 s42392 r8192 d31336 u81920
[ 0.000000] Detected VIPT I-cache on CPU0
[ 0.000000] CPU features: enabling workaround for ARM erratum 845719
[ 0.000000] Built 1 zonelists in Zone order, mobility grouping on. Total pages: 1034240
[ 0.000000] Kernel command line: earlycon=cdns,mmio,0xFF000000,115200n8 root=/dev/mmcblk0p1 rw rootwait cma=128M
[ 0.000000] PID hash table entries: 4096 (order: 3, 32768 bytes)
[ 0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes)
[ 0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes)
[ 0.000000] software IO TLB [mem 0x73fff000-0x77fff000] (64MB) mapped at [ffffffc073fff000-ffffffc077ffefff]
[ 0.000000] Memory: 3920164K/4194304K available (8136K kernel code, 569K rwdata, 3140K rodata, 452K init, 494K b ss, 143068K reserved, 131072K cma-reserved)
[ 0.000000] Virtual kernel memory layout:
[ 0.000000] modules : 0xffffff8000000000 - 0xffffff8008000000 ( 128 MB)
[ 0.000000] vmalloc : 0xffffff8008000000 - 0xffffffbdffff0000 ( 247 GB)
[ 0.000000] .text : 0xffffff8008080000 - 0xffffff8008870000 ( 8128 KB)
[ 0.000000] .rodata : 0xffffff8008870000 - 0xffffff8008b85000 ( 3156 KB)
[ 0.000000] .init : 0xffffff8008b85000 - 0xffffff8008bf6000 ( 452 KB)
[ 0.000000] .data : 0xffffff8008bf6000 - 0xffffff8008c84600 ( 570 KB)
[ 0.000000] vmemmap : 0xffffffbe00000000 - 0xffffffbfc0000000 ( 7 GB maximum)
[ 0.000000] 0xffffffbe00000000 - 0xffffffbe1dc00000 ( 476 MB actual)
[ 0.000000] fixed : 0xffffffbffe7fd000 - 0xffffffbffec00000 ( 4108 KB)
[ 0.000000] PCI I/O : 0xffffffbffee00000 - 0xffffffbfffe00000 ( 16 MB)
[ 0.000000] memory : 0xffffffc000000000 - 0xffffffc880000000 ( 34816 MB)
[ 0.000000] Preemptible hierarchical RCU implementation.
[ 0.000000] Build-time adjustment of leaf fanout to 64.
[ 0.000000] RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4.
[ 0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=64, nr_cpu_ids=4
[ 0.000000] NR_IRQS:64 nr_irqs:64 0
[ 0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[ 0.000000] GIC: Using split EOI/Deactivate mode
[ 0.000000] Architected cp15 timer(s) running at 100.00MHz (phys).
[ 0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x171024e7e0, max_idle_ns: 4407952 05315 ns
[ 0.000003] sched_clock: 56 bits at 100MHz, resolution 10ns, wraps every 4398046511100ns
[ 0.008382] Console: colour dummy device 80x25
[ 0.012630] console [tty0] enabled
[ 0.016002] bootconsole [cdns0] disabled
PMUFW: PmGetApiVersion: version 0.2
PMUFW: PmRequestWakeup: (NODE_APU_1, REQUEST_ACK_BLOCKING)
PMUFW: PmProcTrSleepToActive: SLEEP->ACTIVE NODE_APU_1
PMUFW: PmRequestWakeup: (NODE_APU_2, REQUEST_ACK_BLOCKING)
PMUFW: PmProcTrSleepToActive: SLEEP->ACTIVE NODE_APU_2
PMUFW: PmRequestWakeup: (NODE_APU_3, REQUEST_ACK_BLOCKING)
PMUFW: PmProcTrSleepToActive: SLEEP->ACTIVE NODE_APU_3
[ 0.000000] Booting Linux on physical CPU 0x0
[ 0.000000] Linux version 4.6.0-xilinx-v2016.3 (kratsg@dc) (gcc version 6.2.0 (GCC) ) #1 SMP PREEMPT Tue Mar 7 1 4:43:00 CST 2017
[ 0.000000] Boot CPU: AArch64 Processor [410fd034]
[ 0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')
[ 0.000000] bootconsole [cdns0] enabled
[ 0.000000] efi: Getting EFI parameters from FDT:
[ 0.000000] efi: UEFI not found.
[ 0.000000] cma: Reserved 128 MiB at 0x0000000078000000
[ 0.000000] psci: probing for conduit method from DT.
[ 0.000000] psci: PSCIv1.0 detected in firmware.
[ 0.000000] psci: Using standard PSCI v0.2 function IDs
[ 0.000000] psci: MIGRATE_INFO_TYPE not supported.
[ 0.000000] percpu: Embedded 20 pages/cpu @ffffffc87ff48000 s42392 r8192 d31336 u81920
[ 0.000000] Detected VIPT I-cache on CPU0
[ 0.000000] CPU features: enabling workaround for ARM erratum 845719
[ 0.000000] Built 1 zonelists in Zone order, mobility grouping on. Total pages: 1034240
[ 0.000000] Kernel command line: earlycon=cdns,mmio,0xFF000000,115200n8 root=/dev/mmcblk0p1 rw rootwait cma=128M
[ 0.000000] PID hash table entries: 4096 (order: 3, 32768 bytes)
[ 0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes)
[ 0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes)
[ 0.000000] software IO TLB [mem 0x73fff000-0x77fff000] (64MB) mapped at [ffffffc073fff000-ffffffc077ffefff]
[ 0.000000] Memory: 3920164K/4194304K available (8136K kernel code, 569K rwdata, 3140K rodata, 452K init, 494K b ss, 143068K reserved, 131072K cma-reserved)
[ 0.000000] Virtual kernel memory layout:
[ 0.000000] modules : 0xffffff8000000000 - 0xffffff8008000000 ( 128 MB)
[ 0.000000] vmalloc : 0xffffff8008000000 - 0xffffffbdffff0000 ( 247 GB)
[ 0.000000] .text : 0xffffff8008080000 - 0xffffff8008870000 ( 8128 KB)
[ 0.000000] .rodata : 0xffffff8008870000 - 0xffffff8008b85000 ( 3156 KB)
[ 0.000000] .init : 0xffffff8008b85000 - 0xffffff8008bf6000 ( 452 KB)
[ 0.000000] .data : 0xffffff8008bf6000 - 0xffffff8008c84600 ( 570 KB)
[ 0.000000] vmemmap : 0xffffffbe00000000 - 0xffffffbfc0000000 ( 7 GB maximum)
[ 0.000000] 0xffffffbe00000000 - 0xffffffbe1dc00000 ( 476 MB actual)
[ 0.000000] fixed : 0xffffffbffe7fd000 - 0xffffffbffec00000 ( 4108 KB)
[ 0.000000] PCI I/O : 0xffffffbffee00000 - 0xffffffbfffe00000 ( 16 MB)
[ 0.000000] memory : 0xffffffc000000000 - 0xffffffc880000000 ( 34816 MB)
[ 0.000000] Preemptible hierarchical RCU implementation.
[ 0.000000] Build-time adjustment of leaf fanout to 64.
[ 0.000000] RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4.
[ 0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=64, nr_cpu_ids=4
[ 0.000000] NR_IRQS:64 nr_irqs:64 0
[ 0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[ 0.000000] GIC: Using split EOI/Deactivate mode
[ 0.000000] Architected cp15 timer(s) running at 100.00MHz (phys).
[ 0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x171024e7e0, max_idle_ns: 4407952 05315 ns
[ 0.000003] sched_clock: 56 bits at 100MHz, resolution 10ns, wraps every 4398046511100ns
[ 0.008382] Console: colour dummy device 80x25
[ 0.012630] console [tty0] enabled
[ 0.016002] bootconsole [cdns0] disabled
[ 0.019912] Calibrating delay loop (skipped), value calculated using timer frequency.. 200.00 BogoMIPS (lpj=1000 000)
[ 0.019933] pid_max: default: 32768 minimum: 301
[ 0.020067] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes)
[ 0.020082] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes)
[ 0.020723] ASID allocator initialised with 65536 entries
[ 0.070208] zynqmp_plat_init Power management API v0.2
[ 0.070329] EFI services will not be available.
[ 0.124563] Detected VIPT I-cache on CPU1
[ 0.124590] CPU1: Booted secondary processor [410fd034]
[ 0.164583] Detected VIPT I-cache on CPU2
[ 0.164602] CPU2: Booted secondary processor [410fd034]
[ 0.204615] Detected VIPT I-cache on CPU3
[ 0.204634] CPU3: Booted secondary processor [410fd034]
[ 0.204684] Brought up 4 CPUs
[ 0.204742] SMP: Total of 4 processors activated.
[ 0.204758] CPU: All CPU(s) started at EL2
[ 0.204783] alternatives: patching kernel code
[ 0.205585] devtmpfs: initialized
[ 0.211862] DMI not present or invalid.
[ 0.212081] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
[ 0.215354] xor: measuring software checksum speed
[ 0.310147] 8regs : 2110.400 MB/sec
[ 0.410188] 8regs_prefetch: 1881.600 MB/sec
[ 0.510230] 32regs : 2500.000 MB/sec
[ 0.610275] 32regs_prefetch: 2182.400 MB/sec
[ 0.610294] xor: using function: 32regs (2500.000 MB/sec)
[ 0.611400] NET: Registered protocol family 16
[ 0.640305] cpuidle: using governor menu
[ 0.640413] vdso: 2 pages (1 code @ ffffff8008876000, 1 data @ ffffff8008bfc000)
[ 0.640457] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[ 0.641425] DMA: preallocated 256 KiB pool for atomic allocations
[ 0.643678] ARM CCI_400_r1 PMU driver probed
[ 0.690682] HugeTLB registered 2 MB page size, pre-allocated 0 pages
[ 0.860560] raid6: int64x1 gen() 376 MB/s
[ 1.030622] raid6: int64x1 xor() 399 MB/s
[ 1.200689] raid6: int64x2 gen() 613 MB/s
[ 1.370770] raid6: int64x2 xor() 543 MB/s
[ 1.540897] raid6: int64x4 gen() 900 MB/s
[ 1.710935] raid6: int64x4 xor() 691 MB/s
[ 1.881070] raid6: int64x8 gen() 1007 MB/s
[ 2.051160] raid6: int64x8 xor() 666 MB/s
[ 2.221298] raid6: neonx1 gen() 661 MB/s
[ 2.391319] raid6: neonx1 xor() 692 MB/s
[ 2.561421] raid6: neonx2 gen() 1069 MB/s
[ 2.731512] raid6: neonx2 xor() 940 MB/s
[ 2.901637] raid6: neonx4 gen() 1379 MB/s
[ 3.071709] raid6: neonx4 xor() 1075 MB/s
[ 3.241789] raid6: neonx8 gen() 1451 MB/s
[ 3.411893] raid6: neonx8 xor() 1113 MB/s
[ 3.411912] raid6: using algorithm neonx8 gen() 1451 MB/s
[ 3.411930] raid6: .... xor() 1113 MB/s, rmw enabled
[ 3.411949] raid6: using intx1 recovery algorithm
[ 3.412372] ACPI: Interpreter disabled.
[ 3.413350] arm-smmu fd800000.smmu: probing hardware configuration...
[ 3.413373] arm-smmu fd800000.smmu: SMMUv2 with:
[ 3.413393] arm-smmu fd800000.smmu: stage 1 translation
[ 3.413413] arm-smmu fd800000.smmu: stage 2 translation
[ 3.413433] arm-smmu fd800000.smmu: nested translation
[ 3.413459] arm-smmu fd800000.smmu: stream matching with 48 register groups, mask 0x7fff
[ 3.413488] arm-smmu fd800000.smmu: 16 context banks (0 stage-2 only)
[ 3.413512] arm-smmu fd800000.smmu: Supported page sizes: 0x60211000
[ 3.413533] arm-smmu fd800000.smmu: Stage-1: 48-bit VA -> 48-bit IPA
[ 3.413555] arm-smmu fd800000.smmu: Stage-2: 48-bit IPA -> 48-bit PA
[ 3.414757] arm-smmu fd800000.smmu: registered 26 master devices
[ 3.415258] iommu: Adding device fd500000.dma to group 0
[ 3.415308] iommu: Adding device fd510000.dma to group 1
[ 3.415351] iommu: Adding device fd520000.dma to group 2
[ 3.415393] iommu: Adding device fd530000.dma to group 3
[ 3.415436] iommu: Adding device fd540000.dma to group 4
[ 3.415483] iommu: Adding device fd550000.dma to group 5
[ 3.415525] iommu: Adding device fd560000.dma to group 6
[ 3.415568] iommu: Adding device fd570000.dma to group 7
[ 3.415643] iommu: Adding device ff0e0000.ethernet to group 8
[ 3.415750] iommu: Adding device ff0f0000.spi to group 9
[ 3.415842] iommu: Adding device ff170000.sdhci to group 10
[ 3.415934] iommu: Adding device amba:usb@fe200000 to group 11
[ 3.416629] SCSI subsystem initialized
[ 3.417198] usbcore: registered new interface driver usbfs
[ 3.417299] usbcore: registered new interface driver hub
[ 3.417403] usbcore: registered new device driver usb
[ 3.417662] media: Linux media interface: v0.10
[ 3.417748] Linux video capture interface: v2.00
[ 3.417871] pps_core: LinuxPPS API ver. 1 registered
[ 3.417891] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[ 3.417968] PTP clock support registered
[ 3.418094] EDAC MC: Ver: 3.0.0
[ 3.422013] dmi: Firmware registration failed.
[ 3.422191] Advanced Linux Sound Architecture Driver Initialized.
[ 3.423612] clocksource: Switched to clocksource arch_sys_counter
[ 3.423756] VFS: Disk quotas dquot_6.6.0
[ 3.423820] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[ 3.424108] pnp: PnP ACPI: disabled
[ 3.430469] NET: Registered protocol family 2
[ 3.430954] TCP established hash table entries: 32768 (order: 6, 262144 bytes)
[ 3.431200] TCP bind hash table entries: 32768 (order: 7, 524288 bytes)
[ 3.431684] TCP: Hash tables configured (established 32768 bind 32768)
[ 3.431749] UDP hash table entries: 2048 (order: 4, 65536 bytes)
[ 3.431855] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes)
[ 3.432164] NET: Registered protocol family 1
[ 3.442465] RPC: Registered named UNIX socket transport module.
[ 3.442484] RPC: Registered udp transport module.
[ 3.442500] RPC: Registered tcp transport module.
[ 3.442516] RPC: Registered tcp NFSv4.1 backchannel transport module.
[ 3.442936] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available
[ 3.443821] futex hash table entries: 1024 (order: 5, 131072 bytes)
[ 3.443907] audit: initializing netlink subsys (disabled)
[ 3.443948] audit: type=2000 audit(3.440:1): initialized
[ 3.454541] workingset: timestamp_bits=60 max_order=20 bucket_order=0
[ 3.465477] NFS: Registering the id_resolver key type
[ 3.465517] Key type id_resolver registered
[ 3.465535] Key type id_legacy registered
[ 3.465564] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[ 3.465620] jffs2: version 2.2. (NAND) (SUMMARY) © 2001-2006 Red Hat, Inc.
[ 3.471554] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)
[ 3.471590] io scheduler noop registered
[ 3.471612] io scheduler deadline registered
[ 3.471648] io scheduler cfq registered (default)
[ 3.483212] xilinx-dpdma fd4c0000.dma: Xilinx DPDMA engine is probed
[ 3.483648] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[ 3.483831] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[ 3.484013] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[ 3.484196] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[ 3.484371] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[ 3.484563] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[ 3.484742] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[ 3.484930] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[ 3.485072] zynqmp_pm_probe Power management API v0.2
[ 3.485337] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[ 3.486711] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 207, base_baud = 6250000) is a xuartps
[ 4.485174] console [ttyPS0] enabled
[ 4.488963] ff010000.serial: ttyPS1 at MMIO 0xff010000 (irq = 208, base_baud = 6250000) is a xuartps
[ 4.498369] [drm] Initialized drm 1.1.0 20060810
[ 4.503465] [drm] load() is defered & will be called again
[ 4.509713] xilinx-drm-dp-sub fd4aa000.dp_sub: Xilinx DisplayPort Subsystem is probed
[ 4.517681] Unable to detect cache hierarchy from DT for CPU 0
[ 4.590205] brd: module loaded
[ 4.677204] loop: module loaded
[ 4.681389] xilinx-psgtr fd400000.zynqmp_phy: Lane:3 type:3 protocol:2 pll_locked:yes
[ 4.689243] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode
[ 4.698155] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst
[ 4.718695] scsi host0: ahci-ceva
[ 4.732202] scsi host1: ahci-ceva
[ 4.735609] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 204
[ 4.743543] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 204
[ 4.751791] mtdoops: mtd device (mtddev=name/number) must be supplied
PMUFW: PmMmioRead: (NODE_APU) addr=0xFF180390, value=0x7
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180390, mask=0x7, value=0x7
PMUFW: PmMmioRead: (NODE_APU) addr=0xFF180390, value=0x7
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180390, mask=0x7, value=0x7
[ 4.775899] m25p80 spi0.0: found n25q512a, expected m25p80
PMUFW: PmMmioRead: (NODE_APU) addr=0xFF180390, value=0x7
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180390, mask=0x7, value=0x7
PMUFW: PmMmioRead: (NODE_APU) addr=0xFF180390, value=0x7
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180390, mask=0x7, value=0x7
PMUFW: PmMmioRead: (NODE_APU) addr=0xFF180390, value=0x7
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180390, mask=0x7, value=0x7
PMUFW: PmMmioRead: (NODE_APU) addr=0xFF180390, value=0x7
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180390, mask=0x7, value=0x7
[ 4.825466] m25p80 spi0.0: Controller not in SPI_TX_QUAD mode, just use extended SPI mode
PMUFW: PmMmioRead: (NODE_APU) addr=0xFF180390, value=0x7
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180390, mask=0x7, value=0x7
PMUFW: PmMmioRead: (NODE_APU) addr=0xFF180390, value=0x7
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180390, mask=0x7, value=0x7
PMUFW: PmMmioRead: (NODE_APU) addr=0xFF180390, value=0x7
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180390, mask=0x7, value=0x7
[ 4.866687] m25p80 spi0.0: n25q512a (131072 Kbytes)
[ 4.877120] 4 ofpart partitions found on MTD device spi0.0
[ 4.882544] Creating 4 MTD partitions on "spi0.0":
[ 4.887330] 0x000000000000-0x000000100000 : "qspi-fsbl-uboot"
[ 4.893733] 0x000000100000-0x000000600000 : "qspi-linux"
[ 4.899571] 0x000000600000-0x000000620000 : "qspi-device-tree"
[ 4.905958] 0x000000620000-0x000000c00000 : "qspi-rootfs"
[ 4.914116] libphy: Fixed MDIO Bus: probed
[ 4.918776] tun: Universal TUN/TAP device driver, 1.6
[ 4.923766] tun: (C) 1999-2004 Max Krasnyansky <maxk@qualcomm.com>
[ 4.930035] CAN device driver interface
[ 4.934797] gpiod_set_value: invalid GPIO
[ 4.939266] libphy: MACB_mii_bus: probed
[ 4.944703] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 22 (00:0a:35:00:02:90)
[ 4.954488] TI DP83867 ff0e0000.etherne:0c: attached PHY driver [TI DP83867] (mii_bus:phy_addr=ff0e0000.etherne: 0c, irq=-1)
[ 4.966317] usbcore: registered new interface driver asix
[ 4.971706] usbcore: registered new interface driver ax88179_178a
[ 4.977788] usbcore: registered new interface driver cdc_ether
[ 4.983609] usbcore: registered new interface driver net1080
[ 4.989248] usbcore: registered new interface driver cdc_subset
[ 4.995158] usbcore: registered new interface driver zaurus
[ 5.000732] usbcore: registered new interface driver cdc_ncm
[ 5.007487] xilinx-psgtr fd400000.zynqmp_phy: Lane:2 type:0 protocol:3 pll_locked:yes
[ 5.034154] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[ 5.039594] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
[ 5.047546] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x00010010
[ 5.056239] xhci-hcd xhci-hcd.0.auto: irq 211, io mem 0xfe200000
[ 5.062297] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002
[ 5.065015] ata2: SATA link down (SStatus 0 SControl 330)
[ 5.074408] ata1: SATA link down (SStatus 0 SControl 330)
[ 5.079774] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 5.086993] usb usb1: Product: xHCI Host Controller
[ 5.091837] usb usb1: Manufacturer: Linux 4.6.0-xilinx-v2016.3 xhci-hcd
[ 5.098445] usb usb1: SerialNumber: xhci-hcd.0.auto
[ 5.103665] hub 1-0:1.0: USB hub found
[ 5.107366] hub 1-0:1.0: 1 port detected
[ 5.111398] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[ 5.116825] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2
[ 5.124511] usb usb2: We don't know the algorithms for LPM for this host, disabling LPM.
[ 5.132611] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003
[ 5.139337] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
[ 5.146547] usb usb2: Product: xHCI Host Controller
[ 5.151390] usb usb2: Manufacturer: Linux 4.6.0-xilinx-v2016.3 xhci-hcd
[ 5.157997] usb usb2: SerialNumber: xhci-hcd.0.auto
[ 5.163157] hub 2-0:1.0: USB hub found
[ 5.166863] hub 2-0:1.0: 1 port detected
[ 5.170965] usbcore: registered new interface driver uas
[ 5.176286] usbcore: registered new interface driver usb-storage
[ 5.182545] mousedev: PS/2 mouse device common for all mice
[ 5.188613] rtc_zynqmp ffa60000.rtc: rtc core: registered ffa60000.rtc as rtc0
[ 5.195858] i2c /dev entries driver
[ 5.202016] GPIO line 322 (sel0) hogged as output/high
[ 5.207829] GPIO line 323 (sel1) hogged as output/high
[ 5.213589] GPIO line 324 (sel2) hogged as output/high
[ 5.219349] GPIO line 325 (sel3) hogged as output/high
[ 5.226447] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 198
[ 5.232743] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 199
[ 5.239890] i2c i2c-0: Added multiplexed i2c bus 2
[ 5.245316] i2c i2c-0: Added multiplexed i2c bus 3
[ 5.251162] i2c i2c-0: Added multiplexed i2c bus 4
[ 5.256034] i2c i2c-0: Added multiplexed i2c bus 5
[ 5.260751] pca954x 0-0075: registered 4 multiplexed busses for I2C mux pca9544
[ 5.268728] at24 6-0054: 1024 byte 24c08 EEPROM, writable, 1 bytes/write
[ 5.275381] i2c i2c-1: Added multiplexed i2c bus 6
[ 5.280360] i2c i2c-1: Added multiplexed i2c bus 7
[ 5.285321] i2c i2c-1: Added multiplexed i2c bus 8
[ 5.290260] i2c i2c-1: Added multiplexed i2c bus 9
[ 5.295215] i2c i2c-1: Added multiplexed i2c bus 10
[ 5.300161] i2c i2c-1: Added multiplexed i2c bus 11
[ 5.305132] i2c i2c-1: Added multiplexed i2c bus 12
[ 5.310084] i2c i2c-1: Added multiplexed i2c bus 13
[ 5.314898] pca954x 1-0074: registered 8 multiplexed busses for I2C switch pca9548
[ 5.322704] i2c i2c-1: Added multiplexed i2c bus 14
[ 5.327675] i2c i2c-1: Added multiplexed i2c bus 15
[ 5.332634] i2c i2c-1: Added multiplexed i2c bus 16
[ 5.337959] i2c i2c-1: Added multiplexed i2c bus 17
[ 5.342917] i2c i2c-1: Added multiplexed i2c bus 18
[ 5.347889] i2c i2c-1: Added multiplexed i2c bus 19
[ 5.352851] i2c i2c-1: Added multiplexed i2c bus 20
[ 5.357823] i2c i2c-1: Added multiplexed i2c bus 21
[ 5.362628] pca954x 1-0075: registered 8 multiplexed busses for I2C switch pca9548
[ 5.372303] ina2xx 2-0040: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.378998] ina2xx 2-0041: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.385676] ina2xx 2-0042: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.392362] ina2xx 2-0043: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.399045] ina2xx 2-0044: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.405732] ina2xx 2-0045: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.412400] ina2xx 2-0046: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.419089] ina2xx 2-0047: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.425776] ina2xx 2-004a: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.432440] ina2xx 2-004b: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.439197] ina2xx 3-0040: power monitor ina226 (Rshunt = 2000 uOhm)
[ 5.445900] ina2xx 3-0041: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.452579] ina2xx 3-0042: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.459251] ina2xx 3-0043: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.465945] ina2xx 3-0044: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.472613] ina2xx 3-0045: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.479296] ina2xx 3-0046: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.485979] ina2xx 3-0047: power monitor ina226 (Rshunt = 5000 uOhm)
[ 5.506569] max20751 4-0073: PMBus status register not found
[ 5.512834] EDAC MC: ECC not enabled
[ 5.516561] EDAC DEVICE0: Giving out device to module edac controller cache_err: DEV edac (POLLED)
[ 5.525698] EDAC MC: bug in low-level driver: attempt to assign
[ 5.525698] duplicate dev_idx 0 in add_edac_dev_to_global_list()
[ 5.537916] zynqmp-ocm-edac: probe of ff960000.memory-controller failed with error -1
[ 5.545809] sdhci: Secure Digital Host Controller Interface driver
[ 5.551911] sdhci: Copyright(c) Pierre Ossman
[ 5.556262] sdhci-pltfm: SDHCI platform and OF driver helper
[ 5.603625] mmc0: SDHCI controller on ff170000.sdhci [ff170000.sdhci] using ADMA 64-bit
[ 5.611924] ledtrig-cpu: registered to indicate activity on CPUs
[ 5.623101] usbcore: registered new interface driver usbhid
[ 5.628622] usbhid: USB HID core driver
[ 5.645158] si570 8-005d: registered, current frequency 300000000 Hz
[ 5.663610] si570 9-005d: registered, current frequency 148500000 Hz
[ 5.670789] xilinx-dp-snd-pcm amba:dp_sndPMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180358, mask=0x40000, value=0x4 0000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x2000000, value=0x2000000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x1000000, value=0x1000000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0xFF0000, value=0x150000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x2000000, value=0x0
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180318, mask=0x400000, value=0x400000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180318, mask=0x3F0000, value=0x50000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180358, mask=0x40000, value=0x0
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180358, mask=0x40000, value=0x40000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x2000000, value=0x2000000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x1000000, value=0x1000000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0xFF0000, value=0x150000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x2000000, value=0x0
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180318, mask=0x400000, value=0x400000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180318, mask=0x3F0000, value=0x50000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180358, mask=0x40000, value=0x0
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180358, mask=0x40000, value=0x40000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x2000000, value=0x2000000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x1000000, value=0x1000000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0xFF0000, value=0x150000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x2000000, value=0x0
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180318, mask=0x400000, value=0x400000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180318, mask=0x3F0000, value=0x50000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180358, mask=0x40000, value=0x0
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180358, mask=0x40000, value=0x40000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x2000000, value=0x2000000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x1000000, value=0x1000000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0xFF0000, value=0x150000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180314, mask=0x2000000, value=0x0
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180318, mask=0x400000, value=0x400000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180318, mask=0x3F0000, value=0x50000
PMUFW: PmMmioWrite: (NODE_APU) addr=0xFF180358, mask=0x40000, value=0x0
_pcm0: Xilinx DisplayPort Sound PCM probed
[ 5.886312] mmc0: new high speed SDHC card at address e624
[ 5.886619] mmcblk0: mmc0:e624 SL16G 14.8 GiB
[ 5.898887] mmcblk0: p1
[ 5.907145] xilinx-dp-snd-pcm amba:dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
[ 5.914942] xilinx-dp-snd-codec amba:dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
[ 5.923648] xilinx-dp-snd-card amba:dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[ 5.933829] xilinx-dp-snd-card amba:dp_snd_card: xilinx-dp-snd-codec-dai <-> xilinx-dp-snd-codec-dai mapping ok
[ 5.944436] xilinx-dp-snd-card amba:dp_snd_card: Xilinx DisplayPort Sound Card probed
[ 5.952339] pktgen: Packet Generator for packet performance testing. Version: 2.75
[ 5.980283] Initializing XFRM netlink socket
[ 5.984585] NET: Registered protocol family 10
[ 5.999566] sit: IPv6 over IPv4 tunneling driver
[ 6.004706] NET: Registered protocol family 17
[ 6.009085] NET: Registered protocol family 15
[ 6.013498] can: controller area network core (rev 20120528 abi 9)
[ 6.019736] NET: Registered protocol family 29
[ 6.024146] can: raw protocol (rev 20120528)
[ 6.028359] can: broadcast manager protocol (rev 20120528 t)
[ 6.034030] can: netlink gateway (rev 20130117) max_hops=1
[ 6.039805] 9pnet: Installing 9P2000 support
[ 6.044044] Key type dns_resolver registered
[ 6.048841] registered taskstats version 1
[ 6.063356] Btrfs loaded
[ 6.066771] [drm] load() is defered & will be called again
[ 6.072931] xilinx-psgtr fd400000.zynqmp_phy: Lane:1 type:8 protocol:4 pll_locked:yes
[ 6.081027] xilinx-drm-dp fd4a0000.dp: device found, version 4.010
[ 6.087170] xilinx-drm-dp fd4a0000.dp: Display Port, version 1.0200 (tx)
[ 6.094595] [drm] Supports vblank timestamp caching Rev 2 (21.10.2013).
[ 6.101130] [drm] No driver support for vblank timestamp query.
[ 6.107074] xilinx-drm amba:xilinx_drm: No connectors reported connected with modes
[ 6.114709] [drm] Cannot find any crtc or sizes - going 1024x768
[ 6.126900] Console: switching to colour frame buffer device 128x48
[ 6.138227] xilinx-drm amba:xilinx_drm: fb0: frame buffer device
[ 6.183621] [drm] Initialized xilinx_drm 1.0.0 20130509 on minor 0
[ 6.190598] ALSA device list:
[ 6.193517] #0: DisplayPort monitor
[ 6.207915] VFS: Mounted root (vfat filesystem) on device 179:1.
[ 6.214159] devtmpfs: error mounting -2
[ 6.218126] Freeing unused kernel memory: 452K (ffffff8008b85000 - ffffff8008bf6000)
[ 6.226674] Kernel panic - not syncing: No working init found. Try passing init= option to kernel. See Linux Do cumentation/init.txt for guidance.
[ 6.239851] CPU: 3 PID: 1 Comm: swapper/0 Not tainted 4.6.0-xilinx-v2016.3 #1
[ 6.246970] Hardware name: ZynqMP ZCU102 RevB (DT)
[ 6.251719] Call trace:
[ 6.254131] [<ffffff80080898f8>] dump_backtrace+0x0/0x1a0
[ 6.259540] [<ffffff8008089aac>] show_stack+0x14/0x20
[ 6.264572] [<ffffff80083cd9f0>] dump_stack+0x90/0xb0
[ 6.269606] [<ffffff800812a9e0>] panic+0x10c/0x248
[ 6.274378] [<ffffff80088644fc>] kernel_init+0xec/0x100
[ 6.279589] [<ffffff8008085e10>] ret_from_fork+0x10/0x40
[ 6.284885] CPU1: stopping
[ 6.287549] CPU: 1 PID: 0 Comm: swapper/1 Not tainted 4.6.0-xilinx-v2016.3 #1
[ 6.294708] Hardware name: ZynqMP ZCU102 RevB (DT)
[ 6.299456] Call trace:
[ 6.301868] [<ffffff80080898f8>] dump_backtrace+0x0/0x1a0
[ 6.309487] [<ffffff8008089aac>] show_stack+0x14/0x20
[ 6.316700] [<ffffff80083cd9f0>] dump_stack+0x90/0xb0
[ 6.323893] [<ffffff800808ee98>] handle_IPI+0x180/0x190
[ 6.331245] [<ffffff80080824f8>] gic_handle_irq+0xa0/0xc0
[ 6.338798] Exception stack(0xffffffc87ff60050 to 0xffffffc87ff60170)
[ 6.347410] 0040: ffffffc87b8ebe30 ffffffc87b8e8000
[ 6.357438] 0060: ffffffc87b8ebf50 ffffff8008086734 0000000000000145 ffffff8008bfd000
[ 6.367486] 0080: ffffffc87b8ebf50 ffffffc87b8ebe30 0000000000000000 0000000000000000
[ 6.377523] 00a0: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.387548] 00c0: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.397536] 00e0: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.407504] 0100: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.417451] 0120: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.427371] 0140: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.437259] 0160: 0000000000000000 0000000000000000
[ 6.444142] [<ffffff8008085720>] el1_irq+0xa0/0x10c
[ 6.450999] [<ffffff8008086734>] arch_cpu_idle+0x14/0x20
[ 6.458267] [<ffffff80080d052c>] cpu_startup_entry+0x154/0x1f0
[ 6.466067] [<ffffff800808e8c8>] secondary_start_kernel+0x180/0x1d8
[ 6.474291] [<000000000008285c>] 0x8285c
[ 6.480137] CPU2: stopping
[ 6.484740] CPU: 2 PID: 0 Comm: swapper/2 Not tainted 4.6.0-xilinx-v2016.3 #1
[ 6.493796] Hardware name: ZynqMP ZCU102 RevB (DT)
[ 6.500490] Call trace:
[ 6.504804] [<ffffff80080898f8>] dump_backtrace+0x0/0x1a0
[ 6.512093] [<ffffff8008089aac>] show_stack+0x14/0x20
[ 6.519002] [<ffffff80083cd9f0>] dump_stack+0x90/0xb0
[ 6.525882] [<ffffff800808ee98>] handle_IPI+0x180/0x190
[ 6.532942] [<ffffff80080824f8>] gic_handle_irq+0xa0/0xc0
[ 6.540152] Exception stack(0xffffffc87ff74050 to 0xffffffc87ff74170)
[ 6.548423] 4040: ffffffc87b8efe30 ffffffc87b8ec000
[ 6.558094] 4060: ffffffc87b8eff50 ffffff8008086734 0000000000000045 ffffff8008bfd000
[ 6.567723] 4080: ffffffc87b8eff50 ffffffc87b8efe30 0000000000000000 0000000000000000
[ 6.577278] 40a0: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.586801] 40c0: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.596274] 40e0: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.605721] 4100: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.615132] 4120: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.624520] 4140: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.633901] 4160: 0000000000000000 0000000000000000
[ 6.640311] [<ffffff8008085720>] el1_irq+0xa0/0x10c
[ 6.646726] [<ffffff8008086734>] arch_cpu_idle+0x14/0x20
[ 6.653578] [<ffffff80080d052c>] cpu_startup_entry+0x154/0x1f0
[ 6.660955] [<ffffff800808e8c8>] secondary_start_kernel+0x180/0x1d8
[ 6.668740] [<000000000008285c>] 0x8285c
[ 6.674148] CPU0: stopping
[ 6.678335] CPU: 0 PID: 0 Comm: swapper/0 Not tainted 4.6.0-xilinx-v2016.3 #1
[ 6.686993] Hardware name: ZynqMP ZCU102 RevB (DT)
[ 6.693312] Call trace:
[ 6.697286] [<ffffff80080898f8>] dump_backtrace+0x0/0x1a0
[ 6.704252] [<ffffff8008089aac>] show_stack+0x14/0x20
[ 6.710871] [<ffffff80083cd9f0>] dump_stack+0x90/0xb0
[ 6.717454] [<ffffff800808ee98>] handle_IPI+0x180/0x190
[ 6.724186] [<ffffff80080824f8>] gic_handle_irq+0xa0/0xc0
[ 6.731067] Exception stack(0xffffffc87ff4c050 to 0xffffffc87ff4c170)
[ 6.739021] c040: ffffff8008bfbdf0 ffffff8008bf8000
[ 6.748406] c060: ffffff8008bfbf10 ffffff8008086734 0000000000000145 ffffff8008bfd000
[ 6.757838] c080: ffffff8008bfbf10 ffffff8008bfbdf0 0000000000000000 0000000000000000
[ 6.767284] c0a0: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.776748] c0c0: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.786220] c0e0: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.795690] c100: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.805133] c120: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.814549] c140: 0000000000000000 0000000000000000 0000000000000000 0000000000000000
[ 6.823947] c160: 0000000000000000 0000000000000000
[ 6.830359] [<ffffff8008085720>] el1_irq+0xa0/0x10c
[ 6.836773] [<ffffff8008086734>] arch_cpu_idle+0x14/0x20
[ 6.843611] [<ffffff80080d052c>] cpu_startup_entry+0x154/0x1f0
[ 6.850980] [<ffffff8008864400>] rest_init+0x80/0x90
[ 6.857459] [<ffffff8008b85974>] start_kernel+0x394/0x3a8
[ 6.864341] [<ffffff80080811d8>] 0xffffff80080811d8
[ 6.870690] Kernel Offset: disabled
[ 6.875642] Memory Limit: none
[ 6.880149] ---[ end Kernel panic - not syncing: No working init found. Try passing init= option to kernel. See Linux Documentation/init.txt for guidance.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment