Skip to content

Instantly share code, notes, and snippets.

View libertylocked's full-sized avatar

libertylocked

View GitHub Profile
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Mux4to1 is
Port ( S : in STD_LOGIC;
A : in STD_LOGIC_VECTOR(3 downto 0);
B : in STD_LOGIC_VECTOR(3 downto 0);
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Latch4bit is
Port ( D : in STD_LOGIC_VECTOR(3 downto 0);
E : in STD_LOGIC;
Q : out STD_LOGIC_VECTOR(3 downto 0));
import java.util.ArrayList;
import javax.swing.JFrame;
public class MazeFrame
{
public static void main(String[] args) throws InterruptedException
{
int width = 15;
int height = 10;
JFrame frame = new JFrame();