This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
use IEEE.STD_LOGIC_ARITH.ALL; | |
use IEEE.STD_LOGIC_UNSIGNED.ALL; | |
entity Mux4to1 is | |
Port ( S : in STD_LOGIC; | |
A : in STD_LOGIC_VECTOR(3 downto 0); | |
B : in STD_LOGIC_VECTOR(3 downto 0); |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
use IEEE.STD_LOGIC_ARITH.ALL; | |
use IEEE.STD_LOGIC_UNSIGNED.ALL; | |
entity Latch4bit is | |
Port ( D : in STD_LOGIC_VECTOR(3 downto 0); | |
E : in STD_LOGIC; | |
Q : out STD_LOGIC_VECTOR(3 downto 0)); |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
import java.util.ArrayList; | |
import javax.swing.JFrame; | |
public class MazeFrame | |
{ | |
public static void main(String[] args) throws InterruptedException | |
{ | |
int width = 15; | |
int height = 10; | |
JFrame frame = new JFrame(); |
NewerOlder