Skip to content

Instantly share code, notes, and snippets.

View nickfox-taterli's full-sized avatar
😰
想哭

Tater Li nickfox-taterli

😰
想哭
View GitHub Profile
@nickfox-taterli
nickfox-taterli / main.c
Last active September 19, 2022 05:59
FFT arm_math
float32_t pSrc[2048];
float32_t pDstFreq[1024];
float32_t pDstPhase[1024];
void MainCode(void *pvParameters)
{
uint16_t i;
for(i = 0;i < 1024;i++){
// 直流分量 1 + 50Hz且初始相位60度.
pSrc[i*2] = 1 + arm_cos_f32((2 * 3.1415926f * 50 * i) / 1024 + (3.1415926f * 60/180));
pSrc[i*2 + 1] = 0;
@nickfox-taterli
nickfox-taterli / hdarea.py
Created September 17, 2022 06:25
自动免费HDAREA
import time
import random
import qbittorrentapi
from selenium import webdriver
from selenium.webdriver.common.by import By
from selenium.webdriver.chrome.options import Options
ids = list()
servers = ['89.47.160.92', '89.40.10.157', '37.187.1.230', '37.59.36.21', '198.100.145.102', '198.27.64.97',
'5.196.69.47', '5.196.88.219', '37.187.112.38', '5.135.152.13']
@nickfox-taterli
nickfox-taterli / matlabuart.m
Created September 16, 2022 10:43
Matlab UART New API Demo
% ********************************************************************************************************************
% ********************************************************************************************************************
close all
clear all
%删除所有已经打开的串口,这条很重要,防止之前运行没有关闭串口
delete(instrfindall);
%打开串口COM1,波特率115200,8位数据位,1位停止位,无奇偶校验,无流控制
s = serialport('COM4', 115200);
@nickfox-taterli
nickfox-taterli / mt29f2g01abagdwb.c
Created June 24, 2022 03:11
MT29F2G01ABAGDWB BSP @ STM32 HAL
#include "mt29f2g01abagdwb.h"
QSPI_HandleTypeDef QSPIHandle;
static uint8_t QSPI_ResetMemory(void);
static uint8_t QSPI_WriteEnable(void);
static uint8_t QSPI_AutoPollingMemReady(void);
static uint8_t QSPI_GetStatusRegister(void);
uint8_t BSP_QSPI_Init(void)
@nickfox-taterli
nickfox-taterli / speedtest_fake.py
Created June 1, 2022 09:37
新的speedtest欺骗
import uuid
import json
import requests
# 测速点ID,可以自己覆盖,默认选系统最优.
server_id = json.loads(requests.get('https://cli.speedtest.net/api/cli/config').text)['servers'][0]['id']
# 下载速度(Mbps)
download_speed = 1000
@nickfox-taterli
nickfox-taterli / Payjs.php
Created March 1, 2022 09:30
V2Board Payjs 支付接口
<?php
namespace App\Payments;
class Payjs {
public function __construct($config)
{
$this->config = $config;
}
public function form()
@nickfox-taterli
nickfox-taterli / sniproxy.conf
Created January 31, 2022 07:13
sniproxy.conf
user daemon
pidfile /var/tmp/sniproxy.pid
error_log {
syslog daemon
priority notice
}
resolver {
nameserver 8.8.8.8
nameserver 8.8.4.4 # local dns should be better
mode ipv6_first
@nickfox-taterli
nickfox-taterli / main.c
Created January 23, 2022 03:52
STM32L475 IOT NFC Demo
#include "stm32l4xx_ll_i2c.h"
#include "stm32l4xx_ll_crs.h"
#include "stm32l4xx_ll_rcc.h"
#include "stm32l4xx_ll_bus.h"
#include "stm32l4xx_ll_system.h"
#include "stm32l4xx_ll_exti.h"
#include "stm32l4xx_ll_cortex.h"
#include "stm32l4xx_ll_utils.h"
#include "stm32l4xx_ll_pwr.h"
#include "stm32l4xx_ll_dma.h"
@nickfox-taterli
nickfox-taterli / adc_dma.c
Created January 18, 2022 09:30
ADC + DMA / LPCOpen
#include "board.h"
#include "mem_tests.h"
/*****************************************************************************
* Public types/enumerations/variables
****************************************************************************/
static volatile uint8_t channelTC, dmaChannelNum;
uint32_t DMAbuffer[255];
uint16_t dataADC;
@nickfox-taterli
nickfox-taterli / brcm_patchram_plus.c
Created November 26, 2021 08:51
brcm_patchram_plus.c
/*******************************************************************************
*
* Copyright (C) 2009-2011 Broadcom Corporation
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*