Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
diff --git a/arch/arm/dts/imx6dl-riotboard.dts b/arch/arm/dts/imx6dl-riotboard.dts | |
index e7d9bfbfd0e..e09dc6e410f 100644 | |
--- a/arch/arm/dts/imx6dl-riotboard.dts | |
+++ b/arch/arm/dts/imx6dl-riotboard.dts | |
@@ -176,7 +176,7 @@ | |
VDDIO-supply = <®_3p3v>; | |
}; | |
- pmic: pf0100@8 { | |
+ pmic: pfuze100@8 { |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module rtl_bram( | |
input wire clk, | |
(* X_INTERFACE_PARAMETER = "POLARITY ACTIVE_HIGH" *) | |
input wire rst, | |
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *) | |
input wire [31:0] s_axil_awaddr, | |
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *) | |
input wire [2:0] s_axil_awprot, |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module top( | |
input [31:0] adr, | |
input [31:0] dat_w, | |
output reg [31:0] dat_r, | |
input [3:0] sel, | |
input cyc, | |
input stb, | |
output reg ack, | |
input we, | |
input [2:0] cti, |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module top( | |
input wire clk_i, | |
input wire rst_i, | |
input wire sdi_csn_i, | |
input wire sdi_clk_i, | |
input wire sdi_dat_i, | |
output wire sdi_dat_o, | |
output wire [5:0] led |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module bram_axil( | |
input wire clk, | |
input wire rst, | |
input wire [31:0] s_axil_awaddr, | |
input wire [2:0] s_axil_awprot, | |
input wire s_axil_awvaild, | |
output wire s_axil_awready, | |
input wire [31:0] s_axil_wdata, | |
input wire [3:0] s_axil_wstrb, |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
`timescale 1ns / 1ps | |
////////////////////////////////////////////////////////////////////////////////// | |
// Company: | |
// Engineer: | |
// | |
// Create Date: 2024/01/08 18:22:57 | |
// Design Name: | |
// Module Name: ser_10to1 | |
// Project Name: | |
// Target Devices: |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* Machine-generated using Migen */ | |
module top( | |
output reg example, | |
output reg [7:0] example_1, | |
output fsm, | |
output reg fsm_1, | |
output fsm_2, | |
output reg fsm_3, | |
input sys_clk, | |
input sys_rst |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
// ----------------------------------------------------------------------------- | |
// Auto-Generated by: __ _ __ _ __ | |
// / / (_) /____ | |/_/ | |
// / /__/ / __/ -_)> < | |
// /____/_/\__/\__/_/|_| | |
// Build your hardware, easily! | |
// https://github.com/enjoy-digital/litex | |
// | |
// Filename : qmtech_ep4cgx150.v | |
// Device : EP4CGX150DF27I7 |
NewerOlder