Skip to content

Instantly share code, notes, and snippets.

View nickodell's full-sized avatar

Nick ODell nickodell

  • Fort Collins, CO
View GitHub Profile
@nickodell
nickodell / gist:3120282
Created July 16, 2012 03:31
Tree against EE3
This file has been truncated, but you can view the full file.
Folder PATH listing
Volume serial number is 8A1F-8EC3
C:.
| build.properties
| build.xml
| log
| mcp62.zip
| tree
|
+---forge
@nickodell
nickodell / gist:3120283
Created July 16, 2012 03:32
Failed compile log
Unable to locate tools.jar. Expected to find it in C:\Program Files\Java\jre7\lib\tools.jar
Buildfile: C:\Users\Nick\Desktop\eclipse\workspace\EEmod\build.xml
recompile:
[exec] == MCP 6.2 (data: 6.2, client: 1.2.5, server: 1.2.5) ==
[exec] # found jad, ff, jad patches, ff patches, osx patches, srgs, name csvs, doc csvs, param csvs, astyle, astyle config
[exec] == Recompiling client ==
[exec] > Cleaning bin
[exec] > Recompiling
[exec] '"C:\Program Files\Java\jdk1.7.0_05\bin\javac" -Xlint:-options -deprecation -g -source 1.6 -target 1....' failed : 1
@nickodell
nickodell / gist:3120334
Created July 16, 2012 03:42
build.properties
dir.developement=C:\Users\Nick\Desktop\eclipse\workspace\EEmod\
@nickodell
nickodell / gist:3160520
Created July 22, 2012 18:01
arduino blink
void setup() {
pinMode(13, OUTPUT);
}
void loop() {
digitalWrite(13, HIGH);
delay(1000);
dititalWrite(13, LOW);
delay(1000);
}
import urllib2
import json
url = "http://blockchain.info/charts/n-transactions?format=json"
page = urllib2.urlopen(url).read()
data = json.loads(page)['values']
data = map(lambda point: point['y'], data)
print int(sum(data))
import random
def simulation(x):
subjects = 0
trials = 0
while True:
trials += 1
if random.random() < 0.30:
#Runs following code with 30% chance
subjects += 1
8,9c8,9
< looking at device '/devices/pci0000:00/0000:00:1d.7/usb2/2-1/2-1.3/2-1.3.4/2-1.3.4:1.0/ttyUSB1/tty/ttyUSB1':
< KERNEL=="ttyUSB1"
---
> looking at device '/devices/pci0000:00/0000:00:1d.7/usb2/2-1/2-1.3/2-1.3.1/2-1.3.1.4/2-1.3.1.4:1.0/ttyUSB2/tty/ttyUSB2':
> KERNEL=="ttyUSB2"
13,14c13,14
< looking at parent device '/devices/pci0000:00/0000:00:1d.7/usb2/2-1/2-1.3/2-1.3.4/2-1.3.4:1.0/ttyUSB1':
< KERNELS=="ttyUSB1"
---
@nickodell
nickodell / gist:5274543
Created March 30, 2013 00:06
Subtraction prog
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Switches_LEDs is
Port ( switches : in STD_LOGIC_VECTOR(7 downto 0);
LEDs : out STD_LOGIC_VECTOR(7 downto 0));
end Switches_LEDs;
architecture Behavioral of Switches_LEDs is
signal A : STD_LOGIC_VECTOR(3 downto 0);
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Switches_LEDs is
Port ( switches : in STD_LOGIC_VECTOR(0 downto 0);
LEDs : out STD_LOGIC_VECTOR(7 downto 0);
clock : in STD_LOGIC
);
end Switches_LEDs;
@nickodell
nickodell / setup.sh
Last active August 29, 2015 14:06
Conf VPS
#!/bin/sh
# Run using
# wget -O - https://gist.githubusercontent.com/nickodell/9a6f556861a953e5d6b2/raw/setup.sh | sh
apt-get update
apt-get install -y mosh screen
git clone https://github.com/nickodell/build_bitcoin.git