This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* | |
* Copyright (c) 2006-2020 Arm Limited and affiliates. | |
* SPDX-License-Identifier: Apache-2.0 | |
*/ | |
#include "uop_msb.h" | |
#include "mbed.h" | |
#include "EthernetInterface.h" | |
#include <MQTTClientMbedOs.h> | |
#include <stdio.h> | |
#include <string.h> |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* | |
... | |
xmlns:local="clr-namespace:NAMESPACE" | |
... | |
<ContentPage.Resources> | |
<ResourceDictionary> | |
<local:IntToBoolConverter x:Key="intToBool" /> | |
</ResourceDictionary> | |
</ContentPage.Resources> | |
... |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
`typeof` takes a type name (which you specify at compile time). | |
`GetType` gets the runtime type of an instance. | |
`is` returns true if an instance is in the inheritance tree. | |
https://stackoverflow.com/questions/983030/type-checking-typeof-gettype-or-is |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
using System; | |
using System.ComponentModel; | |
using System.Runtime.CompilerServices; | |
using System.Threading.Tasks; | |
using System.Windows.Input; | |
using Xamarin.Forms; | |
namespace BasicNavigation | |
{ | |
public class MainPageViewModel : INotifyPropertyChanged |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
using System; | |
using System.ComponentModel; | |
using System.Runtime.CompilerServices; | |
namespace BasicNavigation | |
{ | |
public class PersonDetailsModel : INotifyPropertyChanged | |
{ | |
private string name; | |
private int birthYear; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
<?xml version="1.0" encoding="utf-8" ?> | |
<ContentPage xmlns="http://xamarin.com/schemas/2014/forms" | |
xmlns:x="http://schemas.microsoft.com/winfx/2009/xaml" | |
xmlns:d="http://xamarin.com/schemas/2014/forms/design" | |
xmlns:mc="http://schemas.openxmlformats.org/markup-compatibility/2006" | |
mc:Ignorable="d" | |
x:Class="FormsAppTest.MainPage"> | |
<StackLayout> | |
<!-- Note the use of ATTACHED PROPERTIES Grid.Row and Grid.Column --> |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
public static class Extensions | |
{ | |
public static string ToString(this BodyParameter p) | |
{ | |
switch (p) | |
{ | |
case BodyParameter.HEIGHT: | |
return "Height"; | |
case BodyParameter.WEIGHT: | |
return "Weight"; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
LIBRARY altera_mf; | |
USE altera_mf.altera_mf_components.all; | |
entity fifo_test_v2 is | |
end fifo_test_v2; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
LIBRARY altera_mf; | |
USE altera_mf.altera_mf_components.all; | |
entity fifo_test is | |
end fifo_test; | |
architecture rtl of fifo_test is |