Skip to content

Instantly share code, notes, and snippets.

@smirnovich
Last active March 13, 2023 19:49
Show Gist options
  • Save smirnovich/eeb695fce5eebedd5807fa98b667b6db to your computer and use it in GitHub Desktop.
Save smirnovich/eeb695fce5eebedd5807fa98b667b6db to your computer and use it in GitHub Desktop.
Simple VHDL trigger
library ieee;
use ieee.std_logic_1164.all;
entity simple_trigger is
port(
clk : in std_logic;
d : in std_logic;
q : out std_logic
);
end entity;
architecture rtl of simple_trigger is
begin
process(clk)
begin
if(rising_edge(clk)) then
q <= d;
end if;
end process;
end rtl;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment