Skip to content

Instantly share code, notes, and snippets.

View swhsiang's full-sized avatar

swh swhsiang

  • Block
  • Seattle
View GitHub Profile
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_UNSIGNED.all;
entity step3 is
port(CLK, reset, switch: in std_logic;
Q: out std_logic_vector(0 to 6));
end step3;
architecture behavioral of step3 is