Skip to content

Instantly share code, notes, and snippets.

@taichi-ishitani
Created October 18, 2019 04:25
Show Gist options
  • Save taichi-ishitani/18f914ab482d9d4c074506ca98524b88 to your computer and use it in GitHub Desktop.
Save taichi-ishitani/18f914ab482d9d4c074506ca98524b88 to your computer and use it in GitHub Desktop.
logic 変数への初期値代入
module top (
input [1:0] i_a,
input [1:0] i_b,
output [1:0] o_c
);
logic [1:0] c = i_a + i_b;
assign o_c = c;
endmodule
@taichi-ishitani
Copy link
Author

Vivadoは、これを合成してしまう。
シミュレーションと挙動が合わないので、ワーニングを出すか、エラーを出すかしたほうが良いと思う。

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment