Skip to content

Instantly share code, notes, and snippets.

@taichi-ishitani
Last active May 16, 2024 20:45
Show Gist options
  • Save taichi-ishitani/97927543fa14edd95a84bd7f9be04115 to your computer and use it in GitHub Desktop.
Save taichi-ishitani/97927543fa14edd95a84bd7f9be04115 to your computer and use it in GitHub Desktop.
DSim crash
#! /bin/bash
dvhcom sub.vhd
dvlcom top.sv
dsim -genimage image -top work.top
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sub is
generic (
FOO: unsigned := x"0"
);
end sub;
architecture rtl of sub is
signal s: unsigned(1 downto 0);
begin
s <= resize(FOO, 2);
end rtl;
module top;
sub #(
.FOO (2'b00 )
) u_sub ();
endmodule
@taichi-ishitani
Copy link
Author

taichi-ishitani commented May 16, 2024

run.sh を実行すると、エラボレーション中に以下のエラーが起こる。
The crash report below wil be reported during executing run.sh script.

$ dsim -genimage image -top work.top
=N:[UsageMeter (2024-05-16 16:08:00 +0900)] Using /etc/ssl/certs as location of CA certificates to verify license server.
=N:[UsageMeter (2024-05-16 16:08:01 +0900)] usage server initial connection
=N:[License] 
Licensed for Metrics Design Automation.
=N:[License] New lease granted.
Analyzing...
Elaborating...
  Top-level modules:
    $unit
    top
  Found 5 unique specialization(s) of 5 design element(s).
Optimizing...
Building models...
PLI/VPI access: (none) 
Simulation time precision is 1ns.
  [1/10] module $root: 179 functions, 411 basic blocks
  [2/10] module $pkgs: 1 functions, 2 basic blocks
  [3/10] CU scope $unit: 1 functions, 2 basic blocks
  [4/10] module top: 1 functions, 2 basic blocks
  [5/10] package std: 23 functions, 64 basic blocks
  [6/10] VHDL package standard: 0 functions, 0 basic blocks
  [7/10] VHDL package textio: 0 functions, 0 basic blocks
  [8/10] VHDL package std_logic_1164: 0 functions, 0 basic blocks
  [9/10] VHDL package numeric_std: 1 functions, 2 basic blocks
=F:[Crash] 
This Metrics software product has crashed due to an internal error.
Please seek assistance from Metrics support personnel.
Additional information may follow.

Item being generated at the time of the crash:
    (0x7f6b4e1d8310:VHDL value::VHDL array constant[AD]) @ sub.vhd:15 during generation of right side value

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment