Last active
May 16, 2024 20:45
-
-
Save taichi-ishitani/97927543fa14edd95a84bd7f9be04115 to your computer and use it in GitHub Desktop.
DSim crash
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#! /bin/bash | |
dvhcom sub.vhd | |
dvlcom top.sv | |
dsim -genimage image -top work.top |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
entity sub is | |
generic ( | |
FOO: unsigned := x"0" | |
); | |
end sub; | |
architecture rtl of sub is | |
signal s: unsigned(1 downto 0); | |
begin | |
s <= resize(FOO, 2); | |
end rtl; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module top; | |
sub #( | |
.FOO (2'b00 ) | |
) u_sub (); | |
endmodule |
Sign up for free
to join this conversation on GitHub.
Already have an account?
Sign in to comment
run.sh
を実行すると、エラボレーション中に以下のエラーが起こる。The crash report below wil be reported during executing
run.sh
script.