Skip to content

Instantly share code, notes, and snippets.

@taichi-ishitani
Created November 14, 2019 14:45
Show Gist options
  • Save taichi-ishitani/b036f0c4d917b28156fd20216d406955 to your computer and use it in GitHub Desktop.
Save taichi-ishitani/b036f0c4d917b28156fd20216d406955 to your computer and use it in GitHub Desktop.
# Before RgGen 0.17
- { name: foo_0, bit_assignment: { lsb: 0, width: 2 }, type: rw, initial_value: 0 }
- { name: foo_1, bit_assignment: { lsb: 2, width: 2 }, type: rw, initial_value: 1 }
- { name: foo_2, bit_assignment: { lsb: 4, width: 2 }, type: rw, initial_value: 2 }
- { name: foo_3, bit_assignment: { lsb: 6, width: 2 }, type: rw, initial_value: 3 }
---
# After RgGen 0.17
- <<:
- { name: foo, bit_assignment: { lsb: 0, width: 2, sequence_size: 4 }, type: rw }
- initial_value: [0, 1, 2, 3]
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment