Skip to content

Instantly share code, notes, and snippets.

@tzechienchu
Created September 30, 2022 07:27
Show Gist options
  • Save tzechienchu/4c87b3d78dd381b3410a81905b5ad314 to your computer and use it in GitHub Desktop.
Save tzechienchu/4c87b3d78dd381b3410a81905b5ad314 to your computer and use it in GitHub Desktop.
Use ROM as Stimulus (this is a sine wave output for SPI controller)
module st_rom(
clk,
rst,
run,
st_out
);
input wire clk;
input wire rst;
input wire run;
output wire[31:0] st_out;
localparam IDLE = 2'b00,
READ = 2'b01,
NEXT = 2'b11;
reg[1:0] run_state, run_state_next;
reg[15:0] run_counter, run_counter_next;
wire[7:0] loop_count;
reg[8:0] t_counter, t_counter_next;
assign st_out = data;
assign loop_count = data[31:24];
//State Logic
always @(*)
begin
run_state_next = run_state;
case(run_state)
IDLE:begin
if (run) begin
run_state_next = READ;
end
end
READ:begin
run_state_next = NEXT;
end
NEXT:begin
if (~run) begin
run_state_next = IDLE;
end else begin
run_state_next = READ;
end
end
endcase
end
//State Reg
always @(posedge clk) begin
if (rst) begin
run_state_next <= 0;
end else begin
run_state <= run_state_next;
end
end
//Control Logic
always @(run_state, loop_count, run_counter) begin
run_counter_next = run_counter;
t_counter_next = t_counter;
case(run_state)
IDLE: begin
end
READ:begin
end
NEXT: begin
if (loop_count == 8'b0000_0000) begin
run_counter_next = run_counter + 1;
t_counter_next = 0;
end else
if (loop_count == 8'b1111_1111) begin
t_counter_next = 0;
run_counter_next = 0;
end else begin
if (loop_count == t_counter[7:0]) begin
run_counter_next = run_counter + 1;
t_counter_next = 0;
end else begin
t_counter_next = t_counter + 1;
end
end
end
endcase
end
//Control Reg
always @(posedge clk) begin
if (rst) begin
run_counter_next <= 0;
t_counter_next <= 0;
end else begin
run_counter <= run_counter_next;
t_counter <= t_counter_next;
end
end
(*rom_style = "block" *) reg [31:0] data;
always @(posedge clk)
begin
if (run == 1'b1) begin
case(run_counter)
//----<Replace Start>----
0000:data <=32'h00_00_7FFF;
0001:data <=32'h00_01_7FFF;
0002:data <=32'h00_00_7FFF;
0003:data <=32'h00_02_7FFF;
0004:data <=32'h0F_00_7FFF;
0005:data <=32'h28_00_0000;
0006:data <=32'h00_00_823A;
0007:data <=32'h00_01_823A;
0008:data <=32'h00_00_823A;
0009:data <=32'h00_02_823A;
000A:data <=32'h0F_00_823A;
000B:data <=32'h28_00_0000;
000C:data <=32'h00_00_8476;
000D:data <=32'h00_01_8476;
000E:data <=32'h00_00_8476;
000F:data <=32'h00_02_8476;
0010:data <=32'h0F_00_8476;
0011:data <=32'h28_00_0000;
0012:data <=32'h00_00_86B1;
0013:data <=32'h00_01_86B1;
0014:data <=32'h00_00_86B1;
0015:data <=32'h00_02_86B1;
0016:data <=32'h0F_00_86B1;
0017:data <=32'h28_00_0000;
0018:data <=32'h00_00_88EC;
0019:data <=32'h00_01_88EC;
001A:data <=32'h00_00_88EC;
001B:data <=32'h00_02_88EC;
001C:data <=32'h0F_00_88EC;
001D:data <=32'h28_00_0000;
001E:data <=32'h00_00_8B26;
001F:data <=32'h00_01_8B26;
0020:data <=32'h00_00_8B26;
0021:data <=32'h00_02_8B26;
0022:data <=32'h0F_00_8B26;
0023:data <=32'h28_00_0000;
0024:data <=32'h00_00_8D60;
0025:data <=32'h00_01_8D60;
0026:data <=32'h00_00_8D60;
0027:data <=32'h00_02_8D60;
0028:data <=32'h0F_00_8D60;
0029:data <=32'h28_00_0000;
002A:data <=32'h00_00_8F98;
002B:data <=32'h00_01_8F98;
002C:data <=32'h00_00_8F98;
002D:data <=32'h00_02_8F98;
002E:data <=32'h0F_00_8F98;
002F:data <=32'h28_00_0000;
0030:data <=32'h00_00_91CF;
0031:data <=32'h00_01_91CF;
0032:data <=32'h00_00_91CF;
0033:data <=32'h00_02_91CF;
0034:data <=32'h0F_00_91CF;
0035:data <=32'h28_00_0000;
0036:data <=32'h00_00_9404;
0037:data <=32'h00_01_9404;
0038:data <=32'h00_00_9404;
0039:data <=32'h00_02_9404;
003A:data <=32'h0F_00_9404;
003B:data <=32'h28_00_0000;
003C:data <=32'h00_00_9638;
003D:data <=32'h00_01_9638;
003E:data <=32'h00_00_9638;
003F:data <=32'h00_02_9638;
0040:data <=32'h0F_00_9638;
0041:data <=32'h28_00_0000;
0042:data <=32'h00_00_986B;
0043:data <=32'h00_01_986B;
0044:data <=32'h00_00_986B;
0045:data <=32'h00_02_986B;
0046:data <=32'h0F_00_986B;
0047:data <=32'h28_00_0000;
0048:data <=32'h00_00_9A9B;
0049:data <=32'h00_01_9A9B;
004A:data <=32'h00_00_9A9B;
004B:data <=32'h00_02_9A9B;
004C:data <=32'h0F_00_9A9B;
004D:data <=32'h28_00_0000;
004E:data <=32'h00_00_9CC9;
004F:data <=32'h00_01_9CC9;
0050:data <=32'h00_00_9CC9;
0051:data <=32'h00_02_9CC9;
0052:data <=32'h0F_00_9CC9;
0053:data <=32'h28_00_0000;
0054:data <=32'h00_00_9EF6;
0055:data <=32'h00_01_9EF6;
0056:data <=32'h00_00_9EF6;
0057:data <=32'h00_02_9EF6;
0058:data <=32'h0F_00_9EF6;
0059:data <=32'h28_00_0000;
005A:data <=32'h00_00_A11F;
005B:data <=32'h00_01_A11F;
005C:data <=32'h00_00_A11F;
005D:data <=32'h00_02_A11F;
005E:data <=32'h0F_00_A11F;
005F:data <=32'h28_00_0000;
0060:data <=32'h00_00_A346;
0061:data <=32'h00_01_A346;
0062:data <=32'h00_00_A346;
0063:data <=32'h00_02_A346;
0064:data <=32'h0F_00_A346;
0065:data <=32'h28_00_0000;
0066:data <=32'h00_00_A56B;
0067:data <=32'h00_01_A56B;
0068:data <=32'h00_00_A56B;
0069:data <=32'h00_02_A56B;
006A:data <=32'h0F_00_A56B;
006B:data <=32'h28_00_0000;
006C:data <=32'h00_00_A78C;
006D:data <=32'h00_01_A78C;
006E:data <=32'h00_00_A78C;
006F:data <=32'h00_02_A78C;
0070:data <=32'h0F_00_A78C;
0071:data <=32'h28_00_0000;
0072:data <=32'h00_00_A9AA;
0073:data <=32'h00_01_A9AA;
0074:data <=32'h00_00_A9AA;
0075:data <=32'h00_02_A9AA;
0076:data <=32'h0F_00_A9AA;
0077:data <=32'h28_00_0000;
0078:data <=32'h00_00_ABC5;
0079:data <=32'h00_01_ABC5;
007A:data <=32'h00_00_ABC5;
007B:data <=32'h00_02_ABC5;
007C:data <=32'h0F_00_ABC5;
007D:data <=32'h28_00_0000;
007E:data <=32'h00_00_ADDD;
007F:data <=32'h00_01_ADDD;
0080:data <=32'h00_00_ADDD;
0081:data <=32'h00_02_ADDD;
0082:data <=32'h0F_00_ADDD;
0083:data <=32'h28_00_0000;
0084:data <=32'h00_00_AFF1;
0085:data <=32'h00_01_AFF1;
0086:data <=32'h00_00_AFF1;
0087:data <=32'h00_02_AFF1;
0088:data <=32'h0F_00_AFF1;
0089:data <=32'h28_00_0000;
008A:data <=32'h00_00_B202;
008B:data <=32'h00_01_B202;
008C:data <=32'h00_00_B202;
008D:data <=32'h00_02_B202;
008E:data <=32'h0F_00_B202;
008F:data <=32'h28_00_0000;
0090:data <=32'h00_00_B40E;
0091:data <=32'h00_01_B40E;
0092:data <=32'h00_00_B40E;
0093:data <=32'h00_02_B40E;
0094:data <=32'h0F_00_B40E;
0095:data <=32'h28_00_0000;
0096:data <=32'h00_00_B616;
0097:data <=32'h00_01_B616;
0098:data <=32'h00_00_B616;
0099:data <=32'h00_02_B616;
009A:data <=32'h0F_00_B616;
009B:data <=32'h28_00_0000;
009C:data <=32'h00_00_B81B;
009D:data <=32'h00_01_B81B;
009E:data <=32'h00_00_B81B;
009F:data <=32'h00_02_B81B;
00A0:data <=32'h0F_00_B81B;
00A1:data <=32'h28_00_0000;
00A2:data <=32'h00_00_BA1A;
00A3:data <=32'h00_01_BA1A;
00A4:data <=32'h00_00_BA1A;
00A5:data <=32'h00_02_BA1A;
00A6:data <=32'h0F_00_BA1A;
00A7:data <=32'h28_00_0000;
00A8:data <=32'h00_00_BC16;
00A9:data <=32'h00_01_BC16;
00AA:data <=32'h00_00_BC16;
00AB:data <=32'h00_02_BC16;
00AC:data <=32'h0F_00_BC16;
00AD:data <=32'h28_00_0000;
00AE:data <=32'h00_00_BE0C;
00AF:data <=32'h00_01_BE0C;
00B0:data <=32'h00_00_BE0C;
00B1:data <=32'h00_02_BE0C;
00B2:data <=32'h0F_00_BE0C;
00B3:data <=32'h28_00_0000;
00B4:data <=32'h00_00_BFFE;
00B5:data <=32'h00_01_BFFE;
00B6:data <=32'h00_00_BFFE;
00B7:data <=32'h00_02_BFFE;
00B8:data <=32'h0F_00_BFFE;
00B9:data <=32'h28_00_0000;
00BA:data <=32'h00_00_C1EB;
00BB:data <=32'h00_01_C1EB;
00BC:data <=32'h00_00_C1EB;
00BD:data <=32'h00_02_C1EB;
00BE:data <=32'h0F_00_C1EB;
00BF:data <=32'h28_00_0000;
00C0:data <=32'h00_00_C3D2;
00C1:data <=32'h00_01_C3D2;
00C2:data <=32'h00_00_C3D2;
00C3:data <=32'h00_02_C3D2;
00C4:data <=32'h0F_00_C3D2;
00C5:data <=32'h28_00_0000;
00C6:data <=32'h00_00_C5B5;
00C7:data <=32'h00_01_C5B5;
00C8:data <=32'h00_00_C5B5;
00C9:data <=32'h00_02_C5B5;
00CA:data <=32'h0F_00_C5B5;
00CB:data <=32'h28_00_0000;
00CC:data <=32'h00_00_C792;
00CD:data <=32'h00_01_C792;
00CE:data <=32'h00_00_C792;
00CF:data <=32'h00_02_C792;
00D0:data <=32'h0F_00_C792;
00D1:data <=32'h28_00_0000;
00D2:data <=32'h00_00_C969;
00D3:data <=32'h00_01_C969;
00D4:data <=32'h00_00_C969;
00D5:data <=32'h00_02_C969;
00D6:data <=32'h0F_00_C969;
00D7:data <=32'h28_00_0000;
00D8:data <=32'h00_00_CB3A;
00D9:data <=32'h00_01_CB3A;
00DA:data <=32'h00_00_CB3A;
00DB:data <=32'h00_02_CB3A;
00DC:data <=32'h0F_00_CB3A;
00DD:data <=32'h28_00_0000;
00DE:data <=32'h00_00_CD06;
00DF:data <=32'h00_01_CD06;
00E0:data <=32'h00_00_CD06;
00E1:data <=32'h00_02_CD06;
00E2:data <=32'h0F_00_CD06;
00E3:data <=32'h28_00_0000;
00E4:data <=32'h00_00_CECC;
00E5:data <=32'h00_01_CECC;
00E6:data <=32'h00_00_CECC;
00E7:data <=32'h00_02_CECC;
00E8:data <=32'h0F_00_CECC;
00E9:data <=32'h28_00_0000;
00EA:data <=32'h00_00_D08B;
00EB:data <=32'h00_01_D08B;
00EC:data <=32'h00_00_D08B;
00ED:data <=32'h00_02_D08B;
00EE:data <=32'h0F_00_D08B;
00EF:data <=32'h28_00_0000;
00F0:data <=32'h00_00_D245;
00F1:data <=32'h00_01_D245;
00F2:data <=32'h00_00_D245;
00F3:data <=32'h00_02_D245;
00F4:data <=32'h0F_00_D245;
00F5:data <=32'h28_00_0000;
00F6:data <=32'h00_00_D3F8;
00F7:data <=32'h00_01_D3F8;
00F8:data <=32'h00_00_D3F8;
00F9:data <=32'h00_02_D3F8;
00FA:data <=32'h0F_00_D3F8;
00FB:data <=32'h28_00_0000;
00FC:data <=32'h00_00_D5A4;
00FD:data <=32'h00_01_D5A4;
00FE:data <=32'h00_00_D5A4;
00FF:data <=32'h00_02_D5A4;
0100:data <=32'h0F_00_D5A4;
0101:data <=32'h28_00_0000;
0102:data <=32'h00_00_D74A;
0103:data <=32'h00_01_D74A;
0104:data <=32'h00_00_D74A;
0105:data <=32'h00_02_D74A;
0106:data <=32'h0F_00_D74A;
0107:data <=32'h28_00_0000;
0108:data <=32'h00_00_D8E8;
0109:data <=32'h00_01_D8E8;
010A:data <=32'h00_00_D8E8;
010B:data <=32'h00_02_D8E8;
010C:data <=32'h0F_00_D8E8;
010D:data <=32'h28_00_0000;
010E:data <=32'h00_00_DA80;
010F:data <=32'h00_01_DA80;
0110:data <=32'h00_00_DA80;
0111:data <=32'h00_02_DA80;
0112:data <=32'h0F_00_DA80;
0113:data <=32'h28_00_0000;
0114:data <=32'h00_00_DC11;
0115:data <=32'h00_01_DC11;
0116:data <=32'h00_00_DC11;
0117:data <=32'h00_02_DC11;
0118:data <=32'h0F_00_DC11;
0119:data <=32'h28_00_0000;
011A:data <=32'h00_00_DD9B;
011B:data <=32'h00_01_DD9B;
011C:data <=32'h00_00_DD9B;
011D:data <=32'h00_02_DD9B;
011E:data <=32'h0F_00_DD9B;
011F:data <=32'h28_00_0000;
0120:data <=32'h00_00_DF1D;
0121:data <=32'h00_01_DF1D;
0122:data <=32'h00_00_DF1D;
0123:data <=32'h00_02_DF1D;
0124:data <=32'h0F_00_DF1D;
0125:data <=32'h28_00_0000;
0126:data <=32'h00_00_E098;
0127:data <=32'h00_01_E098;
0128:data <=32'h00_00_E098;
0129:data <=32'h00_02_E098;
012A:data <=32'h0F_00_E098;
012B:data <=32'h28_00_0000;
012C:data <=32'h00_00_E20B;
012D:data <=32'h00_01_E20B;
012E:data <=32'h00_00_E20B;
012F:data <=32'h00_02_E20B;
0130:data <=32'h0F_00_E20B;
0131:data <=32'h28_00_0000;
0132:data <=32'h00_00_E377;
0133:data <=32'h00_01_E377;
0134:data <=32'h00_00_E377;
0135:data <=32'h00_02_E377;
0136:data <=32'h0F_00_E377;
0137:data <=32'h28_00_0000;
0138:data <=32'h00_00_E4DB;
0139:data <=32'h00_01_E4DB;
013A:data <=32'h00_00_E4DB;
013B:data <=32'h00_02_E4DB;
013C:data <=32'h0F_00_E4DB;
013D:data <=32'h28_00_0000;
013E:data <=32'h00_00_E637;
013F:data <=32'h00_01_E637;
0140:data <=32'h00_00_E637;
0141:data <=32'h00_02_E637;
0142:data <=32'h0F_00_E637;
0143:data <=32'h28_00_0000;
0144:data <=32'h00_00_E78C;
0145:data <=32'h00_01_E78C;
0146:data <=32'h00_00_E78C;
0147:data <=32'h00_02_E78C;
0148:data <=32'h0F_00_E78C;
0149:data <=32'h28_00_0000;
014A:data <=32'h00_00_E8D8;
014B:data <=32'h00_01_E8D8;
014C:data <=32'h00_00_E8D8;
014D:data <=32'h00_02_E8D8;
014E:data <=32'h0F_00_E8D8;
014F:data <=32'h28_00_0000;
0150:data <=32'h00_00_EA1C;
0151:data <=32'h00_01_EA1C;
0152:data <=32'h00_00_EA1C;
0153:data <=32'h00_02_EA1C;
0154:data <=32'h0F_00_EA1C;
0155:data <=32'h28_00_0000;
0156:data <=32'h00_00_EB57;
0157:data <=32'h00_01_EB57;
0158:data <=32'h00_00_EB57;
0159:data <=32'h00_02_EB57;
015A:data <=32'h0F_00_EB57;
015B:data <=32'h28_00_0000;
015C:data <=32'h00_00_EC8A;
015D:data <=32'h00_01_EC8A;
015E:data <=32'h00_00_EC8A;
015F:data <=32'h00_02_EC8A;
0160:data <=32'h0F_00_EC8A;
0161:data <=32'h28_00_0000;
0162:data <=32'h00_00_EDB5;
0163:data <=32'h00_01_EDB5;
0164:data <=32'h00_00_EDB5;
0165:data <=32'h00_02_EDB5;
0166:data <=32'h0F_00_EDB5;
0167:data <=32'h28_00_0000;
0168:data <=32'h00_00_EED8;
0169:data <=32'h00_01_EED8;
016A:data <=32'h00_00_EED8;
016B:data <=32'h00_02_EED8;
016C:data <=32'h0F_00_EED8;
016D:data <=32'h28_00_0000;
016E:data <=32'h00_00_EFF1;
016F:data <=32'h00_01_EFF1;
0170:data <=32'h00_00_EFF1;
0171:data <=32'h00_02_EFF1;
0172:data <=32'h0F_00_EFF1;
0173:data <=32'h28_00_0000;
0174:data <=32'h00_00_F102;
0175:data <=32'h00_01_F102;
0176:data <=32'h00_00_F102;
0177:data <=32'h00_02_F102;
0178:data <=32'h0F_00_F102;
0179:data <=32'h28_00_0000;
017A:data <=32'h00_00_F20A;
017B:data <=32'h00_01_F20A;
017C:data <=32'h00_00_F20A;
017D:data <=32'h00_02_F20A;
017E:data <=32'h0F_00_F20A;
017F:data <=32'h28_00_0000;
0180:data <=32'h00_00_F309;
0181:data <=32'h00_01_F309;
0182:data <=32'h00_00_F309;
0183:data <=32'h00_02_F309;
0184:data <=32'h0F_00_F309;
0185:data <=32'h28_00_0000;
0186:data <=32'h00_00_F3FF;
0187:data <=32'h00_01_F3FF;
0188:data <=32'h00_00_F3FF;
0189:data <=32'h00_02_F3FF;
018A:data <=32'h0F_00_F3FF;
018B:data <=32'h28_00_0000;
018C:data <=32'h00_00_F4ED;
018D:data <=32'h00_01_F4ED;
018E:data <=32'h00_00_F4ED;
018F:data <=32'h00_02_F4ED;
0190:data <=32'h0F_00_F4ED;
0191:data <=32'h28_00_0000;
0192:data <=32'h00_00_F5D1;
0193:data <=32'h00_01_F5D1;
0194:data <=32'h00_00_F5D1;
0195:data <=32'h00_02_F5D1;
0196:data <=32'h0F_00_F5D1;
0197:data <=32'h28_00_0000;
0198:data <=32'h00_00_F6AC;
0199:data <=32'h00_01_F6AC;
019A:data <=32'h00_00_F6AC;
019B:data <=32'h00_02_F6AC;
019C:data <=32'h0F_00_F6AC;
019D:data <=32'h28_00_0000;
019E:data <=32'h00_00_F77D;
019F:data <=32'h00_01_F77D;
01A0:data <=32'h00_00_F77D;
01A1:data <=32'h00_02_F77D;
01A2:data <=32'h0F_00_F77D;
01A3:data <=32'h28_00_0000;
01A4:data <=32'h00_00_F845;
01A5:data <=32'h00_01_F845;
01A6:data <=32'h00_00_F845;
01A7:data <=32'h00_02_F845;
01A8:data <=32'h0F_00_F845;
01A9:data <=32'h28_00_0000;
01AA:data <=32'h00_00_F904;
01AB:data <=32'h00_01_F904;
01AC:data <=32'h00_00_F904;
01AD:data <=32'h00_02_F904;
01AE:data <=32'h0F_00_F904;
01AF:data <=32'h28_00_0000;
01B0:data <=32'h00_00_F9BA;
01B1:data <=32'h00_01_F9BA;
01B2:data <=32'h00_00_F9BA;
01B3:data <=32'h00_02_F9BA;
01B4:data <=32'h0F_00_F9BA;
01B5:data <=32'h28_00_0000;
01B6:data <=32'h00_00_FA66;
01B7:data <=32'h00_01_FA66;
01B8:data <=32'h00_00_FA66;
01B9:data <=32'h00_02_FA66;
01BA:data <=32'h0F_00_FA66;
01BB:data <=32'h28_00_0000;
01BC:data <=32'h00_00_FB08;
01BD:data <=32'h00_01_FB08;
01BE:data <=32'h00_00_FB08;
01BF:data <=32'h00_02_FB08;
01C0:data <=32'h0F_00_FB08;
01C1:data <=32'h28_00_0000;
01C2:data <=32'h00_00_FBA1;
01C3:data <=32'h00_01_FBA1;
01C4:data <=32'h00_00_FBA1;
01C5:data <=32'h00_02_FBA1;
01C6:data <=32'h0F_00_FBA1;
01C7:data <=32'h28_00_0000;
01C8:data <=32'h00_00_FC30;
01C9:data <=32'h00_01_FC30;
01CA:data <=32'h00_00_FC30;
01CB:data <=32'h00_02_FC30;
01CC:data <=32'h0F_00_FC30;
01CD:data <=32'h28_00_0000;
01CE:data <=32'h00_00_FCB6;
01CF:data <=32'h00_01_FCB6;
01D0:data <=32'h00_00_FCB6;
01D1:data <=32'h00_02_FCB6;
01D2:data <=32'h0F_00_FCB6;
01D3:data <=32'h28_00_0000;
01D4:data <=32'h00_00_FD31;
01D5:data <=32'h00_01_FD31;
01D6:data <=32'h00_00_FD31;
01D7:data <=32'h00_02_FD31;
01D8:data <=32'h0F_00_FD31;
01D9:data <=32'h28_00_0000;
01DA:data <=32'h00_00_FDA3;
01DB:data <=32'h00_01_FDA3;
01DC:data <=32'h00_00_FDA3;
01DD:data <=32'h00_02_FDA3;
01DE:data <=32'h0F_00_FDA3;
01DF:data <=32'h28_00_0000;
01E0:data <=32'h00_00_FE0C;
01E1:data <=32'h00_01_FE0C;
01E2:data <=32'h00_00_FE0C;
01E3:data <=32'h00_02_FE0C;
01E4:data <=32'h0F_00_FE0C;
01E5:data <=32'h28_00_0000;
01E6:data <=32'h00_00_FE6A;
01E7:data <=32'h00_01_FE6A;
01E8:data <=32'h00_00_FE6A;
01E9:data <=32'h00_02_FE6A;
01EA:data <=32'h0F_00_FE6A;
01EB:data <=32'h28_00_0000;
01EC:data <=32'h00_00_FEBF;
01ED:data <=32'h00_01_FEBF;
01EE:data <=32'h00_00_FEBF;
01EF:data <=32'h00_02_FEBF;
01F0:data <=32'h0F_00_FEBF;
01F1:data <=32'h28_00_0000;
01F2:data <=32'h00_00_FF09;
01F3:data <=32'h00_01_FF09;
01F4:data <=32'h00_00_FF09;
01F5:data <=32'h00_02_FF09;
01F6:data <=32'h0F_00_FF09;
01F7:data <=32'h28_00_0000;
01F8:data <=32'h00_00_FF4A;
01F9:data <=32'h00_01_FF4A;
01FA:data <=32'h00_00_FF4A;
01FB:data <=32'h00_02_FF4A;
01FC:data <=32'h0F_00_FF4A;
01FD:data <=32'h28_00_0000;
01FE:data <=32'h00_00_FF81;
01FF:data <=32'h00_01_FF81;
0200:data <=32'h00_00_FF81;
0201:data <=32'h00_02_FF81;
0202:data <=32'h0F_00_FF81;
0203:data <=32'h28_00_0000;
0204:data <=32'h00_00_FFAE;
0205:data <=32'h00_01_FFAE;
0206:data <=32'h00_00_FFAE;
0207:data <=32'h00_02_FFAE;
0208:data <=32'h0F_00_FFAE;
0209:data <=32'h28_00_0000;
020A:data <=32'h00_00_FFD1;
020B:data <=32'h00_01_FFD1;
020C:data <=32'h00_00_FFD1;
020D:data <=32'h00_02_FFD1;
020E:data <=32'h0F_00_FFD1;
020F:data <=32'h28_00_0000;
0210:data <=32'h00_00_FFEA;
0211:data <=32'h00_01_FFEA;
0212:data <=32'h00_00_FFEA;
0213:data <=32'h00_02_FFEA;
0214:data <=32'h0F_00_FFEA;
0215:data <=32'h28_00_0000;
0216:data <=32'h00_00_FFF9;
0217:data <=32'h00_01_FFF9;
0218:data <=32'h00_00_FFF9;
0219:data <=32'h00_02_FFF9;
021A:data <=32'h0F_00_FFF9;
021B:data <=32'h28_00_0000;
021C:data <=32'h00_00_FFFE;
021D:data <=32'h00_01_FFFE;
021E:data <=32'h00_00_FFFE;
021F:data <=32'h00_02_FFFE;
0220:data <=32'h0F_00_FFFE;
0221:data <=32'h28_00_0000;
0222:data <=32'h00_00_FFF9;
0223:data <=32'h00_01_FFF9;
0224:data <=32'h00_00_FFF9;
0225:data <=32'h00_02_FFF9;
0226:data <=32'h0F_00_FFF9;
0227:data <=32'h28_00_0000;
0228:data <=32'h00_00_FFEA;
0229:data <=32'h00_01_FFEA;
022A:data <=32'h00_00_FFEA;
022B:data <=32'h00_02_FFEA;
022C:data <=32'h0F_00_FFEA;
022D:data <=32'h28_00_0000;
022E:data <=32'h00_00_FFD1;
022F:data <=32'h00_01_FFD1;
0230:data <=32'h00_00_FFD1;
0231:data <=32'h00_02_FFD1;
0232:data <=32'h0F_00_FFD1;
0233:data <=32'h28_00_0000;
0234:data <=32'h00_00_FFAE;
0235:data <=32'h00_01_FFAE;
0236:data <=32'h00_00_FFAE;
0237:data <=32'h00_02_FFAE;
0238:data <=32'h0F_00_FFAE;
0239:data <=32'h28_00_0000;
023A:data <=32'h00_00_FF81;
023B:data <=32'h00_01_FF81;
023C:data <=32'h00_00_FF81;
023D:data <=32'h00_02_FF81;
023E:data <=32'h0F_00_FF81;
023F:data <=32'h28_00_0000;
0240:data <=32'h00_00_FF4A;
0241:data <=32'h00_01_FF4A;
0242:data <=32'h00_00_FF4A;
0243:data <=32'h00_02_FF4A;
0244:data <=32'h0F_00_FF4A;
0245:data <=32'h28_00_0000;
0246:data <=32'h00_00_FF09;
0247:data <=32'h00_01_FF09;
0248:data <=32'h00_00_FF09;
0249:data <=32'h00_02_FF09;
024A:data <=32'h0F_00_FF09;
024B:data <=32'h28_00_0000;
024C:data <=32'h00_00_FEBF;
024D:data <=32'h00_01_FEBF;
024E:data <=32'h00_00_FEBF;
024F:data <=32'h00_02_FEBF;
0250:data <=32'h0F_00_FEBF;
0251:data <=32'h28_00_0000;
0252:data <=32'h00_00_FE6A;
0253:data <=32'h00_01_FE6A;
0254:data <=32'h00_00_FE6A;
0255:data <=32'h00_02_FE6A;
0256:data <=32'h0F_00_FE6A;
0257:data <=32'h28_00_0000;
0258:data <=32'h00_00_FE0C;
0259:data <=32'h00_01_FE0C;
025A:data <=32'h00_00_FE0C;
025B:data <=32'h00_02_FE0C;
025C:data <=32'h0F_00_FE0C;
025D:data <=32'h28_00_0000;
025E:data <=32'h00_00_FDA3;
025F:data <=32'h00_01_FDA3;
0260:data <=32'h00_00_FDA3;
0261:data <=32'h00_02_FDA3;
0262:data <=32'h0F_00_FDA3;
0263:data <=32'h28_00_0000;
0264:data <=32'h00_00_FD31;
0265:data <=32'h00_01_FD31;
0266:data <=32'h00_00_FD31;
0267:data <=32'h00_02_FD31;
0268:data <=32'h0F_00_FD31;
0269:data <=32'h28_00_0000;
026A:data <=32'h00_00_FCB6;
026B:data <=32'h00_01_FCB6;
026C:data <=32'h00_00_FCB6;
026D:data <=32'h00_02_FCB6;
026E:data <=32'h0F_00_FCB6;
026F:data <=32'h28_00_0000;
0270:data <=32'h00_00_FC30;
0271:data <=32'h00_01_FC30;
0272:data <=32'h00_00_FC30;
0273:data <=32'h00_02_FC30;
0274:data <=32'h0F_00_FC30;
0275:data <=32'h28_00_0000;
0276:data <=32'h00_00_FBA1;
0277:data <=32'h00_01_FBA1;
0278:data <=32'h00_00_FBA1;
0279:data <=32'h00_02_FBA1;
027A:data <=32'h0F_00_FBA1;
027B:data <=32'h28_00_0000;
027C:data <=32'h00_00_FB08;
027D:data <=32'h00_01_FB08;
027E:data <=32'h00_00_FB08;
027F:data <=32'h00_02_FB08;
0280:data <=32'h0F_00_FB08;
0281:data <=32'h28_00_0000;
0282:data <=32'h00_00_FA66;
0283:data <=32'h00_01_FA66;
0284:data <=32'h00_00_FA66;
0285:data <=32'h00_02_FA66;
0286:data <=32'h0F_00_FA66;
0287:data <=32'h28_00_0000;
0288:data <=32'h00_00_F9BA;
0289:data <=32'h00_01_F9BA;
028A:data <=32'h00_00_F9BA;
028B:data <=32'h00_02_F9BA;
028C:data <=32'h0F_00_F9BA;
028D:data <=32'h28_00_0000;
028E:data <=32'h00_00_F904;
028F:data <=32'h00_01_F904;
0290:data <=32'h00_00_F904;
0291:data <=32'h00_02_F904;
0292:data <=32'h0F_00_F904;
0293:data <=32'h28_00_0000;
0294:data <=32'h00_00_F845;
0295:data <=32'h00_01_F845;
0296:data <=32'h00_00_F845;
0297:data <=32'h00_02_F845;
0298:data <=32'h0F_00_F845;
0299:data <=32'h28_00_0000;
029A:data <=32'h00_00_F77D;
029B:data <=32'h00_01_F77D;
029C:data <=32'h00_00_F77D;
029D:data <=32'h00_02_F77D;
029E:data <=32'h0F_00_F77D;
029F:data <=32'h28_00_0000;
02A0:data <=32'h00_00_F6AC;
02A1:data <=32'h00_01_F6AC;
02A2:data <=32'h00_00_F6AC;
02A3:data <=32'h00_02_F6AC;
02A4:data <=32'h0F_00_F6AC;
02A5:data <=32'h28_00_0000;
02A6:data <=32'h00_00_F5D1;
02A7:data <=32'h00_01_F5D1;
02A8:data <=32'h00_00_F5D1;
02A9:data <=32'h00_02_F5D1;
02AA:data <=32'h0F_00_F5D1;
02AB:data <=32'h28_00_0000;
02AC:data <=32'h00_00_F4ED;
02AD:data <=32'h00_01_F4ED;
02AE:data <=32'h00_00_F4ED;
02AF:data <=32'h00_02_F4ED;
02B0:data <=32'h0F_00_F4ED;
02B1:data <=32'h28_00_0000;
02B2:data <=32'h00_00_F3FF;
02B3:data <=32'h00_01_F3FF;
02B4:data <=32'h00_00_F3FF;
02B5:data <=32'h00_02_F3FF;
02B6:data <=32'h0F_00_F3FF;
02B7:data <=32'h28_00_0000;
02B8:data <=32'h00_00_F309;
02B9:data <=32'h00_01_F309;
02BA:data <=32'h00_00_F309;
02BB:data <=32'h00_02_F309;
02BC:data <=32'h0F_00_F309;
02BD:data <=32'h28_00_0000;
02BE:data <=32'h00_00_F20A;
02BF:data <=32'h00_01_F20A;
02C0:data <=32'h00_00_F20A;
02C1:data <=32'h00_02_F20A;
02C2:data <=32'h0F_00_F20A;
02C3:data <=32'h28_00_0000;
02C4:data <=32'h00_00_F102;
02C5:data <=32'h00_01_F102;
02C6:data <=32'h00_00_F102;
02C7:data <=32'h00_02_F102;
02C8:data <=32'h0F_00_F102;
02C9:data <=32'h28_00_0000;
02CA:data <=32'h00_00_EFF1;
02CB:data <=32'h00_01_EFF1;
02CC:data <=32'h00_00_EFF1;
02CD:data <=32'h00_02_EFF1;
02CE:data <=32'h0F_00_EFF1;
02CF:data <=32'h28_00_0000;
02D0:data <=32'h00_00_EED8;
02D1:data <=32'h00_01_EED8;
02D2:data <=32'h00_00_EED8;
02D3:data <=32'h00_02_EED8;
02D4:data <=32'h0F_00_EED8;
02D5:data <=32'h28_00_0000;
02D6:data <=32'h00_00_EDB5;
02D7:data <=32'h00_01_EDB5;
02D8:data <=32'h00_00_EDB5;
02D9:data <=32'h00_02_EDB5;
02DA:data <=32'h0F_00_EDB5;
02DB:data <=32'h28_00_0000;
02DC:data <=32'h00_00_EC8A;
02DD:data <=32'h00_01_EC8A;
02DE:data <=32'h00_00_EC8A;
02DF:data <=32'h00_02_EC8A;
02E0:data <=32'h0F_00_EC8A;
02E1:data <=32'h28_00_0000;
02E2:data <=32'h00_00_EB57;
02E3:data <=32'h00_01_EB57;
02E4:data <=32'h00_00_EB57;
02E5:data <=32'h00_02_EB57;
02E6:data <=32'h0F_00_EB57;
02E7:data <=32'h28_00_0000;
02E8:data <=32'h00_00_EA1C;
02E9:data <=32'h00_01_EA1C;
02EA:data <=32'h00_00_EA1C;
02EB:data <=32'h00_02_EA1C;
02EC:data <=32'h0F_00_EA1C;
02ED:data <=32'h28_00_0000;
02EE:data <=32'h00_00_E8D8;
02EF:data <=32'h00_01_E8D8;
02F0:data <=32'h00_00_E8D8;
02F1:data <=32'h00_02_E8D8;
02F2:data <=32'h0F_00_E8D8;
02F3:data <=32'h28_00_0000;
02F4:data <=32'h00_00_E78C;
02F5:data <=32'h00_01_E78C;
02F6:data <=32'h00_00_E78C;
02F7:data <=32'h00_02_E78C;
02F8:data <=32'h0F_00_E78C;
02F9:data <=32'h28_00_0000;
02FA:data <=32'h00_00_E637;
02FB:data <=32'h00_01_E637;
02FC:data <=32'h00_00_E637;
02FD:data <=32'h00_02_E637;
02FE:data <=32'h0F_00_E637;
02FF:data <=32'h28_00_0000;
0300:data <=32'h00_00_E4DB;
0301:data <=32'h00_01_E4DB;
0302:data <=32'h00_00_E4DB;
0303:data <=32'h00_02_E4DB;
0304:data <=32'h0F_00_E4DB;
0305:data <=32'h28_00_0000;
0306:data <=32'h00_00_E377;
0307:data <=32'h00_01_E377;
0308:data <=32'h00_00_E377;
0309:data <=32'h00_02_E377;
030A:data <=32'h0F_00_E377;
030B:data <=32'h28_00_0000;
030C:data <=32'h00_00_E20B;
030D:data <=32'h00_01_E20B;
030E:data <=32'h00_00_E20B;
030F:data <=32'h00_02_E20B;
0310:data <=32'h0F_00_E20B;
0311:data <=32'h28_00_0000;
0312:data <=32'h00_00_E098;
0313:data <=32'h00_01_E098;
0314:data <=32'h00_00_E098;
0315:data <=32'h00_02_E098;
0316:data <=32'h0F_00_E098;
0317:data <=32'h28_00_0000;
0318:data <=32'h00_00_DF1D;
0319:data <=32'h00_01_DF1D;
031A:data <=32'h00_00_DF1D;
031B:data <=32'h00_02_DF1D;
031C:data <=32'h0F_00_DF1D;
031D:data <=32'h28_00_0000;
031E:data <=32'h00_00_DD9B;
031F:data <=32'h00_01_DD9B;
0320:data <=32'h00_00_DD9B;
0321:data <=32'h00_02_DD9B;
0322:data <=32'h0F_00_DD9B;
0323:data <=32'h28_00_0000;
0324:data <=32'h00_00_DC11;
0325:data <=32'h00_01_DC11;
0326:data <=32'h00_00_DC11;
0327:data <=32'h00_02_DC11;
0328:data <=32'h0F_00_DC11;
0329:data <=32'h28_00_0000;
032A:data <=32'h00_00_DA80;
032B:data <=32'h00_01_DA80;
032C:data <=32'h00_00_DA80;
032D:data <=32'h00_02_DA80;
032E:data <=32'h0F_00_DA80;
032F:data <=32'h28_00_0000;
0330:data <=32'h00_00_D8E8;
0331:data <=32'h00_01_D8E8;
0332:data <=32'h00_00_D8E8;
0333:data <=32'h00_02_D8E8;
0334:data <=32'h0F_00_D8E8;
0335:data <=32'h28_00_0000;
0336:data <=32'h00_00_D74A;
0337:data <=32'h00_01_D74A;
0338:data <=32'h00_00_D74A;
0339:data <=32'h00_02_D74A;
033A:data <=32'h0F_00_D74A;
033B:data <=32'h28_00_0000;
033C:data <=32'h00_00_D5A4;
033D:data <=32'h00_01_D5A4;
033E:data <=32'h00_00_D5A4;
033F:data <=32'h00_02_D5A4;
0340:data <=32'h0F_00_D5A4;
0341:data <=32'h28_00_0000;
0342:data <=32'h00_00_D3F8;
0343:data <=32'h00_01_D3F8;
0344:data <=32'h00_00_D3F8;
0345:data <=32'h00_02_D3F8;
0346:data <=32'h0F_00_D3F8;
0347:data <=32'h28_00_0000;
0348:data <=32'h00_00_D245;
0349:data <=32'h00_01_D245;
034A:data <=32'h00_00_D245;
034B:data <=32'h00_02_D245;
034C:data <=32'h0F_00_D245;
034D:data <=32'h28_00_0000;
034E:data <=32'h00_00_D08B;
034F:data <=32'h00_01_D08B;
0350:data <=32'h00_00_D08B;
0351:data <=32'h00_02_D08B;
0352:data <=32'h0F_00_D08B;
0353:data <=32'h28_00_0000;
0354:data <=32'h00_00_CECC;
0355:data <=32'h00_01_CECC;
0356:data <=32'h00_00_CECC;
0357:data <=32'h00_02_CECC;
0358:data <=32'h0F_00_CECC;
0359:data <=32'h28_00_0000;
035A:data <=32'h00_00_CD06;
035B:data <=32'h00_01_CD06;
035C:data <=32'h00_00_CD06;
035D:data <=32'h00_02_CD06;
035E:data <=32'h0F_00_CD06;
035F:data <=32'h28_00_0000;
0360:data <=32'h00_00_CB3A;
0361:data <=32'h00_01_CB3A;
0362:data <=32'h00_00_CB3A;
0363:data <=32'h00_02_CB3A;
0364:data <=32'h0F_00_CB3A;
0365:data <=32'h28_00_0000;
0366:data <=32'h00_00_C969;
0367:data <=32'h00_01_C969;
0368:data <=32'h00_00_C969;
0369:data <=32'h00_02_C969;
036A:data <=32'h0F_00_C969;
036B:data <=32'h28_00_0000;
036C:data <=32'h00_00_C792;
036D:data <=32'h00_01_C792;
036E:data <=32'h00_00_C792;
036F:data <=32'h00_02_C792;
0370:data <=32'h0F_00_C792;
0371:data <=32'h28_00_0000;
0372:data <=32'h00_00_C5B5;
0373:data <=32'h00_01_C5B5;
0374:data <=32'h00_00_C5B5;
0375:data <=32'h00_02_C5B5;
0376:data <=32'h0F_00_C5B5;
0377:data <=32'h28_00_0000;
0378:data <=32'h00_00_C3D2;
0379:data <=32'h00_01_C3D2;
037A:data <=32'h00_00_C3D2;
037B:data <=32'h00_02_C3D2;
037C:data <=32'h0F_00_C3D2;
037D:data <=32'h28_00_0000;
037E:data <=32'h00_00_C1EB;
037F:data <=32'h00_01_C1EB;
0380:data <=32'h00_00_C1EB;
0381:data <=32'h00_02_C1EB;
0382:data <=32'h0F_00_C1EB;
0383:data <=32'h28_00_0000;
0384:data <=32'h00_00_BFFE;
0385:data <=32'h00_01_BFFE;
0386:data <=32'h00_00_BFFE;
0387:data <=32'h00_02_BFFE;
0388:data <=32'h0F_00_BFFE;
0389:data <=32'h28_00_0000;
038A:data <=32'h00_00_BE0C;
038B:data <=32'h00_01_BE0C;
038C:data <=32'h00_00_BE0C;
038D:data <=32'h00_02_BE0C;
038E:data <=32'h0F_00_BE0C;
038F:data <=32'h28_00_0000;
0390:data <=32'h00_00_BC16;
0391:data <=32'h00_01_BC16;
0392:data <=32'h00_00_BC16;
0393:data <=32'h00_02_BC16;
0394:data <=32'h0F_00_BC16;
0395:data <=32'h28_00_0000;
0396:data <=32'h00_00_BA1A;
0397:data <=32'h00_01_BA1A;
0398:data <=32'h00_00_BA1A;
0399:data <=32'h00_02_BA1A;
039A:data <=32'h0F_00_BA1A;
039B:data <=32'h28_00_0000;
039C:data <=32'h00_00_B81B;
039D:data <=32'h00_01_B81B;
039E:data <=32'h00_00_B81B;
039F:data <=32'h00_02_B81B;
03A0:data <=32'h0F_00_B81B;
03A1:data <=32'h28_00_0000;
03A2:data <=32'h00_00_B616;
03A3:data <=32'h00_01_B616;
03A4:data <=32'h00_00_B616;
03A5:data <=32'h00_02_B616;
03A6:data <=32'h0F_00_B616;
03A7:data <=32'h28_00_0000;
03A8:data <=32'h00_00_B40E;
03A9:data <=32'h00_01_B40E;
03AA:data <=32'h00_00_B40E;
03AB:data <=32'h00_02_B40E;
03AC:data <=32'h0F_00_B40E;
03AD:data <=32'h28_00_0000;
03AE:data <=32'h00_00_B202;
03AF:data <=32'h00_01_B202;
03B0:data <=32'h00_00_B202;
03B1:data <=32'h00_02_B202;
03B2:data <=32'h0F_00_B202;
03B3:data <=32'h28_00_0000;
03B4:data <=32'h00_00_AFF1;
03B5:data <=32'h00_01_AFF1;
03B6:data <=32'h00_00_AFF1;
03B7:data <=32'h00_02_AFF1;
03B8:data <=32'h0F_00_AFF1;
03B9:data <=32'h28_00_0000;
03BA:data <=32'h00_00_ADDD;
03BB:data <=32'h00_01_ADDD;
03BC:data <=32'h00_00_ADDD;
03BD:data <=32'h00_02_ADDD;
03BE:data <=32'h0F_00_ADDD;
03BF:data <=32'h28_00_0000;
03C0:data <=32'h00_00_ABC5;
03C1:data <=32'h00_01_ABC5;
03C2:data <=32'h00_00_ABC5;
03C3:data <=32'h00_02_ABC5;
03C4:data <=32'h0F_00_ABC5;
03C5:data <=32'h28_00_0000;
03C6:data <=32'h00_00_A9AA;
03C7:data <=32'h00_01_A9AA;
03C8:data <=32'h00_00_A9AA;
03C9:data <=32'h00_02_A9AA;
03CA:data <=32'h0F_00_A9AA;
03CB:data <=32'h28_00_0000;
03CC:data <=32'h00_00_A78C;
03CD:data <=32'h00_01_A78C;
03CE:data <=32'h00_00_A78C;
03CF:data <=32'h00_02_A78C;
03D0:data <=32'h0F_00_A78C;
03D1:data <=32'h28_00_0000;
03D2:data <=32'h00_00_A56B;
03D3:data <=32'h00_01_A56B;
03D4:data <=32'h00_00_A56B;
03D5:data <=32'h00_02_A56B;
03D6:data <=32'h0F_00_A56B;
03D7:data <=32'h28_00_0000;
03D8:data <=32'h00_00_A346;
03D9:data <=32'h00_01_A346;
03DA:data <=32'h00_00_A346;
03DB:data <=32'h00_02_A346;
03DC:data <=32'h0F_00_A346;
03DD:data <=32'h28_00_0000;
03DE:data <=32'h00_00_A11F;
03DF:data <=32'h00_01_A11F;
03E0:data <=32'h00_00_A11F;
03E1:data <=32'h00_02_A11F;
03E2:data <=32'h0F_00_A11F;
03E3:data <=32'h28_00_0000;
03E4:data <=32'h00_00_9EF6;
03E5:data <=32'h00_01_9EF6;
03E6:data <=32'h00_00_9EF6;
03E7:data <=32'h00_02_9EF6;
03E8:data <=32'h0F_00_9EF6;
03E9:data <=32'h28_00_0000;
03EA:data <=32'h00_00_9CC9;
03EB:data <=32'h00_01_9CC9;
03EC:data <=32'h00_00_9CC9;
03ED:data <=32'h00_02_9CC9;
03EE:data <=32'h0F_00_9CC9;
03EF:data <=32'h28_00_0000;
03F0:data <=32'h00_00_9A9B;
03F1:data <=32'h00_01_9A9B;
03F2:data <=32'h00_00_9A9B;
03F3:data <=32'h00_02_9A9B;
03F4:data <=32'h0F_00_9A9B;
03F5:data <=32'h28_00_0000;
03F6:data <=32'h00_00_986B;
03F7:data <=32'h00_01_986B;
03F8:data <=32'h00_00_986B;
03F9:data <=32'h00_02_986B;
03FA:data <=32'h0F_00_986B;
03FB:data <=32'h28_00_0000;
03FC:data <=32'h00_00_9638;
03FD:data <=32'h00_01_9638;
03FE:data <=32'h00_00_9638;
03FF:data <=32'h00_02_9638;
0400:data <=32'h0F_00_9638;
0401:data <=32'h28_00_0000;
0402:data <=32'h00_00_9404;
0403:data <=32'h00_01_9404;
0404:data <=32'h00_00_9404;
0405:data <=32'h00_02_9404;
0406:data <=32'h0F_00_9404;
0407:data <=32'h28_00_0000;
0408:data <=32'h00_00_91CF;
0409:data <=32'h00_01_91CF;
040A:data <=32'h00_00_91CF;
040B:data <=32'h00_02_91CF;
040C:data <=32'h0F_00_91CF;
040D:data <=32'h28_00_0000;
040E:data <=32'h00_00_8F98;
040F:data <=32'h00_01_8F98;
0410:data <=32'h00_00_8F98;
0411:data <=32'h00_02_8F98;
0412:data <=32'h0F_00_8F98;
0413:data <=32'h28_00_0000;
0414:data <=32'h00_00_8D60;
0415:data <=32'h00_01_8D60;
0416:data <=32'h00_00_8D60;
0417:data <=32'h00_02_8D60;
0418:data <=32'h0F_00_8D60;
0419:data <=32'h28_00_0000;
041A:data <=32'h00_00_8B26;
041B:data <=32'h00_01_8B26;
041C:data <=32'h00_00_8B26;
041D:data <=32'h00_02_8B26;
041E:data <=32'h0F_00_8B26;
041F:data <=32'h28_00_0000;
0420:data <=32'h00_00_88EC;
0421:data <=32'h00_01_88EC;
0422:data <=32'h00_00_88EC;
0423:data <=32'h00_02_88EC;
0424:data <=32'h0F_00_88EC;
0425:data <=32'h28_00_0000;
0426:data <=32'h00_00_86B1;
0427:data <=32'h00_01_86B1;
0428:data <=32'h00_00_86B1;
0429:data <=32'h00_02_86B1;
042A:data <=32'h0F_00_86B1;
042B:data <=32'h28_00_0000;
042C:data <=32'h00_00_8476;
042D:data <=32'h00_01_8476;
042E:data <=32'h00_00_8476;
042F:data <=32'h00_02_8476;
0430:data <=32'h0F_00_8476;
0431:data <=32'h28_00_0000;
0432:data <=32'h00_00_823A;
0433:data <=32'h00_01_823A;
0434:data <=32'h00_00_823A;
0435:data <=32'h00_02_823A;
0436:data <=32'h0F_00_823A;
0437:data <=32'h28_00_0000;
0438:data <=32'h00_00_7FFF;
0439:data <=32'h00_01_7FFF;
043A:data <=32'h00_00_7FFF;
043B:data <=32'h00_02_7FFF;
043C:data <=32'h0F_00_7FFF;
043D:data <=32'h28_00_0000;
043E:data <=32'h00_00_7DC3;
043F:data <=32'h00_01_7DC3;
0440:data <=32'h00_00_7DC3;
0441:data <=32'h00_02_7DC3;
0442:data <=32'h0F_00_7DC3;
0443:data <=32'h28_00_0000;
0444:data <=32'h00_00_7B87;
0445:data <=32'h00_01_7B87;
0446:data <=32'h00_00_7B87;
0447:data <=32'h00_02_7B87;
0448:data <=32'h0F_00_7B87;
0449:data <=32'h28_00_0000;
044A:data <=32'h00_00_794C;
044B:data <=32'h00_01_794C;
044C:data <=32'h00_00_794C;
044D:data <=32'h00_02_794C;
044E:data <=32'h0F_00_794C;
044F:data <=32'h28_00_0000;
0450:data <=32'h00_00_7711;
0451:data <=32'h00_01_7711;
0452:data <=32'h00_00_7711;
0453:data <=32'h00_02_7711;
0454:data <=32'h0F_00_7711;
0455:data <=32'h28_00_0000;
0456:data <=32'h00_00_74D7;
0457:data <=32'h00_01_74D7;
0458:data <=32'h00_00_74D7;
0459:data <=32'h00_02_74D7;
045A:data <=32'h0F_00_74D7;
045B:data <=32'h28_00_0000;
045C:data <=32'h00_00_729D;
045D:data <=32'h00_01_729D;
045E:data <=32'h00_00_729D;
045F:data <=32'h00_02_729D;
0460:data <=32'h0F_00_729D;
0461:data <=32'h28_00_0000;
0462:data <=32'h00_00_7065;
0463:data <=32'h00_01_7065;
0464:data <=32'h00_00_7065;
0465:data <=32'h00_02_7065;
0466:data <=32'h0F_00_7065;
0467:data <=32'h28_00_0000;
0468:data <=32'h00_00_6E2E;
0469:data <=32'h00_01_6E2E;
046A:data <=32'h00_00_6E2E;
046B:data <=32'h00_02_6E2E;
046C:data <=32'h0F_00_6E2E;
046D:data <=32'h28_00_0000;
046E:data <=32'h00_00_6BF9;
046F:data <=32'h00_01_6BF9;
0470:data <=32'h00_00_6BF9;
0471:data <=32'h00_02_6BF9;
0472:data <=32'h0F_00_6BF9;
0473:data <=32'h28_00_0000;
0474:data <=32'h00_00_69C5;
0475:data <=32'h00_01_69C5;
0476:data <=32'h00_00_69C5;
0477:data <=32'h00_02_69C5;
0478:data <=32'h0F_00_69C5;
0479:data <=32'h28_00_0000;
047A:data <=32'h00_00_6792;
047B:data <=32'h00_01_6792;
047C:data <=32'h00_00_6792;
047D:data <=32'h00_02_6792;
047E:data <=32'h0F_00_6792;
047F:data <=32'h28_00_0000;
0480:data <=32'h00_00_6562;
0481:data <=32'h00_01_6562;
0482:data <=32'h00_00_6562;
0483:data <=32'h00_02_6562;
0484:data <=32'h0F_00_6562;
0485:data <=32'h28_00_0000;
0486:data <=32'h00_00_6334;
0487:data <=32'h00_01_6334;
0488:data <=32'h00_00_6334;
0489:data <=32'h00_02_6334;
048A:data <=32'h0F_00_6334;
048B:data <=32'h28_00_0000;
048C:data <=32'h00_00_6107;
048D:data <=32'h00_01_6107;
048E:data <=32'h00_00_6107;
048F:data <=32'h00_02_6107;
0490:data <=32'h0F_00_6107;
0491:data <=32'h28_00_0000;
0492:data <=32'h00_00_5EDE;
0493:data <=32'h00_01_5EDE;
0494:data <=32'h00_00_5EDE;
0495:data <=32'h00_02_5EDE;
0496:data <=32'h0F_00_5EDE;
0497:data <=32'h28_00_0000;
0498:data <=32'h00_00_5CB7;
0499:data <=32'h00_01_5CB7;
049A:data <=32'h00_00_5CB7;
049B:data <=32'h00_02_5CB7;
049C:data <=32'h0F_00_5CB7;
049D:data <=32'h28_00_0000;
049E:data <=32'h00_00_5A92;
049F:data <=32'h00_01_5A92;
04A0:data <=32'h00_00_5A92;
04A1:data <=32'h00_02_5A92;
04A2:data <=32'h0F_00_5A92;
04A3:data <=32'h28_00_0000;
04A4:data <=32'h00_00_5871;
04A5:data <=32'h00_01_5871;
04A6:data <=32'h00_00_5871;
04A7:data <=32'h00_02_5871;
04A8:data <=32'h0F_00_5871;
04A9:data <=32'h28_00_0000;
04AA:data <=32'h00_00_5653;
04AB:data <=32'h00_01_5653;
04AC:data <=32'h00_00_5653;
04AD:data <=32'h00_02_5653;
04AE:data <=32'h0F_00_5653;
04AF:data <=32'h28_00_0000;
04B0:data <=32'h00_00_5438;
04B1:data <=32'h00_01_5438;
04B2:data <=32'h00_00_5438;
04B3:data <=32'h00_02_5438;
04B4:data <=32'h0F_00_5438;
04B5:data <=32'h28_00_0000;
04B6:data <=32'h00_00_5220;
04B7:data <=32'h00_01_5220;
04B8:data <=32'h00_00_5220;
04B9:data <=32'h00_02_5220;
04BA:data <=32'h0F_00_5220;
04BB:data <=32'h28_00_0000;
04BC:data <=32'h00_00_500C;
04BD:data <=32'h00_01_500C;
04BE:data <=32'h00_00_500C;
04BF:data <=32'h00_02_500C;
04C0:data <=32'h0F_00_500C;
04C1:data <=32'h28_00_0000;
04C2:data <=32'h00_00_4DFB;
04C3:data <=32'h00_01_4DFB;
04C4:data <=32'h00_00_4DFB;
04C5:data <=32'h00_02_4DFB;
04C6:data <=32'h0F_00_4DFB;
04C7:data <=32'h28_00_0000;
04C8:data <=32'h00_00_4BEF;
04C9:data <=32'h00_01_4BEF;
04CA:data <=32'h00_00_4BEF;
04CB:data <=32'h00_02_4BEF;
04CC:data <=32'h0F_00_4BEF;
04CD:data <=32'h28_00_0000;
04CE:data <=32'h00_00_49E7;
04CF:data <=32'h00_01_49E7;
04D0:data <=32'h00_00_49E7;
04D1:data <=32'h00_02_49E7;
04D2:data <=32'h0F_00_49E7;
04D3:data <=32'h28_00_0000;
04D4:data <=32'h00_00_47E2;
04D5:data <=32'h00_01_47E2;
04D6:data <=32'h00_00_47E2;
04D7:data <=32'h00_02_47E2;
04D8:data <=32'h0F_00_47E2;
04D9:data <=32'h28_00_0000;
04DA:data <=32'h00_00_45E3;
04DB:data <=32'h00_01_45E3;
04DC:data <=32'h00_00_45E3;
04DD:data <=32'h00_02_45E3;
04DE:data <=32'h0F_00_45E3;
04DF:data <=32'h28_00_0000;
04E0:data <=32'h00_00_43E7;
04E1:data <=32'h00_01_43E7;
04E2:data <=32'h00_00_43E7;
04E3:data <=32'h00_02_43E7;
04E4:data <=32'h0F_00_43E7;
04E5:data <=32'h28_00_0000;
04E6:data <=32'h00_00_41F1;
04E7:data <=32'h00_01_41F1;
04E8:data <=32'h00_00_41F1;
04E9:data <=32'h00_02_41F1;
04EA:data <=32'h0F_00_41F1;
04EB:data <=32'h28_00_0000;
04EC:data <=32'h00_00_3FFF;
04ED:data <=32'h00_01_3FFF;
04EE:data <=32'h00_00_3FFF;
04EF:data <=32'h00_02_3FFF;
04F0:data <=32'h0F_00_3FFF;
04F1:data <=32'h28_00_0000;
04F2:data <=32'h00_00_3E12;
04F3:data <=32'h00_01_3E12;
04F4:data <=32'h00_00_3E12;
04F5:data <=32'h00_02_3E12;
04F6:data <=32'h0F_00_3E12;
04F7:data <=32'h28_00_0000;
04F8:data <=32'h00_00_3C2B;
04F9:data <=32'h00_01_3C2B;
04FA:data <=32'h00_00_3C2B;
04FB:data <=32'h00_02_3C2B;
04FC:data <=32'h0F_00_3C2B;
04FD:data <=32'h28_00_0000;
04FE:data <=32'h00_00_3A48;
04FF:data <=32'h00_01_3A48;
0500:data <=32'h00_00_3A48;
0501:data <=32'h00_02_3A48;
0502:data <=32'h0F_00_3A48;
0503:data <=32'h28_00_0000;
0504:data <=32'h00_00_386B;
0505:data <=32'h00_01_386B;
0506:data <=32'h00_00_386B;
0507:data <=32'h00_02_386B;
0508:data <=32'h0F_00_386B;
0509:data <=32'h28_00_0000;
050A:data <=32'h00_00_3694;
050B:data <=32'h00_01_3694;
050C:data <=32'h00_00_3694;
050D:data <=32'h00_02_3694;
050E:data <=32'h0F_00_3694;
050F:data <=32'h28_00_0000;
0510:data <=32'h00_00_34C3;
0511:data <=32'h00_01_34C3;
0512:data <=32'h00_00_34C3;
0513:data <=32'h00_02_34C3;
0514:data <=32'h0F_00_34C3;
0515:data <=32'h28_00_0000;
0516:data <=32'h00_00_32F7;
0517:data <=32'h00_01_32F7;
0518:data <=32'h00_00_32F7;
0519:data <=32'h00_02_32F7;
051A:data <=32'h0F_00_32F7;
051B:data <=32'h28_00_0000;
051C:data <=32'h00_00_3131;
051D:data <=32'h00_01_3131;
051E:data <=32'h00_00_3131;
051F:data <=32'h00_02_3131;
0520:data <=32'h0F_00_3131;
0521:data <=32'h28_00_0000;
0522:data <=32'h00_00_2F72;
0523:data <=32'h00_01_2F72;
0524:data <=32'h00_00_2F72;
0525:data <=32'h00_02_2F72;
0526:data <=32'h0F_00_2F72;
0527:data <=32'h28_00_0000;
0528:data <=32'h00_00_2DB8;
0529:data <=32'h00_01_2DB8;
052A:data <=32'h00_00_2DB8;
052B:data <=32'h00_02_2DB8;
052C:data <=32'h0F_00_2DB8;
052D:data <=32'h28_00_0000;
052E:data <=32'h00_00_2C05;
052F:data <=32'h00_01_2C05;
0530:data <=32'h00_00_2C05;
0531:data <=32'h00_02_2C05;
0532:data <=32'h0F_00_2C05;
0533:data <=32'h28_00_0000;
0534:data <=32'h00_00_2A59;
0535:data <=32'h00_01_2A59;
0536:data <=32'h00_00_2A59;
0537:data <=32'h00_02_2A59;
0538:data <=32'h0F_00_2A59;
0539:data <=32'h28_00_0000;
053A:data <=32'h00_00_28B3;
053B:data <=32'h00_01_28B3;
053C:data <=32'h00_00_28B3;
053D:data <=32'h00_02_28B3;
053E:data <=32'h0F_00_28B3;
053F:data <=32'h28_00_0000;
0540:data <=32'h00_00_2715;
0541:data <=32'h00_01_2715;
0542:data <=32'h00_00_2715;
0543:data <=32'h00_02_2715;
0544:data <=32'h0F_00_2715;
0545:data <=32'h28_00_0000;
0546:data <=32'h00_00_257D;
0547:data <=32'h00_01_257D;
0548:data <=32'h00_00_257D;
0549:data <=32'h00_02_257D;
054A:data <=32'h0F_00_257D;
054B:data <=32'h28_00_0000;
054C:data <=32'h00_00_23EC;
054D:data <=32'h00_01_23EC;
054E:data <=32'h00_00_23EC;
054F:data <=32'h00_02_23EC;
0550:data <=32'h0F_00_23EC;
0551:data <=32'h28_00_0000;
0552:data <=32'h00_00_2262;
0553:data <=32'h00_01_2262;
0554:data <=32'h00_00_2262;
0555:data <=32'h00_02_2262;
0556:data <=32'h0F_00_2262;
0557:data <=32'h28_00_0000;
0558:data <=32'h00_00_20E0;
0559:data <=32'h00_01_20E0;
055A:data <=32'h00_00_20E0;
055B:data <=32'h00_02_20E0;
055C:data <=32'h0F_00_20E0;
055D:data <=32'h28_00_0000;
055E:data <=32'h00_00_1F65;
055F:data <=32'h00_01_1F65;
0560:data <=32'h00_00_1F65;
0561:data <=32'h00_02_1F65;
0562:data <=32'h0F_00_1F65;
0563:data <=32'h28_00_0000;
0564:data <=32'h00_00_1DF2;
0565:data <=32'h00_01_1DF2;
0566:data <=32'h00_00_1DF2;
0567:data <=32'h00_02_1DF2;
0568:data <=32'h0F_00_1DF2;
0569:data <=32'h28_00_0000;
056A:data <=32'h00_00_1C86;
056B:data <=32'h00_01_1C86;
056C:data <=32'h00_00_1C86;
056D:data <=32'h00_02_1C86;
056E:data <=32'h0F_00_1C86;
056F:data <=32'h28_00_0000;
0570:data <=32'h00_00_1B22;
0571:data <=32'h00_01_1B22;
0572:data <=32'h00_00_1B22;
0573:data <=32'h00_02_1B22;
0574:data <=32'h0F_00_1B22;
0575:data <=32'h28_00_0000;
0576:data <=32'h00_00_19C6;
0577:data <=32'h00_01_19C6;
0578:data <=32'h00_00_19C6;
0579:data <=32'h00_02_19C6;
057A:data <=32'h0F_00_19C6;
057B:data <=32'h28_00_0000;
057C:data <=32'h00_00_1871;
057D:data <=32'h00_01_1871;
057E:data <=32'h00_00_1871;
057F:data <=32'h00_02_1871;
0580:data <=32'h0F_00_1871;
0581:data <=32'h28_00_0000;
0582:data <=32'h00_00_1725;
0583:data <=32'h00_01_1725;
0584:data <=32'h00_00_1725;
0585:data <=32'h00_02_1725;
0586:data <=32'h0F_00_1725;
0587:data <=32'h28_00_0000;
0588:data <=32'h00_00_15E1;
0589:data <=32'h00_01_15E1;
058A:data <=32'h00_00_15E1;
058B:data <=32'h00_02_15E1;
058C:data <=32'h0F_00_15E1;
058D:data <=32'h28_00_0000;
058E:data <=32'h00_00_14A6;
058F:data <=32'h00_01_14A6;
0590:data <=32'h00_00_14A6;
0591:data <=32'h00_02_14A6;
0592:data <=32'h0F_00_14A6;
0593:data <=32'h28_00_0000;
0594:data <=32'h00_00_1373;
0595:data <=32'h00_01_1373;
0596:data <=32'h00_00_1373;
0597:data <=32'h00_02_1373;
0598:data <=32'h0F_00_1373;
0599:data <=32'h28_00_0000;
059A:data <=32'h00_00_1248;
059B:data <=32'h00_01_1248;
059C:data <=32'h00_00_1248;
059D:data <=32'h00_02_1248;
059E:data <=32'h0F_00_1248;
059F:data <=32'h28_00_0000;
05A0:data <=32'h00_00_1125;
05A1:data <=32'h00_01_1125;
05A2:data <=32'h00_00_1125;
05A3:data <=32'h00_02_1125;
05A4:data <=32'h0F_00_1125;
05A5:data <=32'h28_00_0000;
05A6:data <=32'h00_00_100C;
05A7:data <=32'h00_01_100C;
05A8:data <=32'h00_00_100C;
05A9:data <=32'h00_02_100C;
05AA:data <=32'h0F_00_100C;
05AB:data <=32'h28_00_0000;
05AC:data <=32'h00_00_0EFB;
05AD:data <=32'h00_01_0EFB;
05AE:data <=32'h00_00_0EFB;
05AF:data <=32'h00_02_0EFB;
05B0:data <=32'h0F_00_0EFB;
05B1:data <=32'h28_00_0000;
05B2:data <=32'h00_00_0DF3;
05B3:data <=32'h00_01_0DF3;
05B4:data <=32'h00_00_0DF3;
05B5:data <=32'h00_02_0DF3;
05B6:data <=32'h0F_00_0DF3;
05B7:data <=32'h28_00_0000;
05B8:data <=32'h00_00_0CF4;
05B9:data <=32'h00_01_0CF4;
05BA:data <=32'h00_00_0CF4;
05BB:data <=32'h00_02_0CF4;
05BC:data <=32'h0F_00_0CF4;
05BD:data <=32'h28_00_0000;
05BE:data <=32'h00_00_0BFE;
05BF:data <=32'h00_01_0BFE;
05C0:data <=32'h00_00_0BFE;
05C1:data <=32'h00_02_0BFE;
05C2:data <=32'h0F_00_0BFE;
05C3:data <=32'h28_00_0000;
05C4:data <=32'h00_00_0B10;
05C5:data <=32'h00_01_0B10;
05C6:data <=32'h00_00_0B10;
05C7:data <=32'h00_02_0B10;
05C8:data <=32'h0F_00_0B10;
05C9:data <=32'h28_00_0000;
05CA:data <=32'h00_00_0A2C;
05CB:data <=32'h00_01_0A2C;
05CC:data <=32'h00_00_0A2C;
05CD:data <=32'h00_02_0A2C;
05CE:data <=32'h0F_00_0A2C;
05CF:data <=32'h28_00_0000;
05D0:data <=32'h00_00_0951;
05D1:data <=32'h00_01_0951;
05D2:data <=32'h00_00_0951;
05D3:data <=32'h00_02_0951;
05D4:data <=32'h0F_00_0951;
05D5:data <=32'h28_00_0000;
05D6:data <=32'h00_00_0880;
05D7:data <=32'h00_01_0880;
05D8:data <=32'h00_00_0880;
05D9:data <=32'h00_02_0880;
05DA:data <=32'h0F_00_0880;
05DB:data <=32'h28_00_0000;
05DC:data <=32'h00_00_07B8;
05DD:data <=32'h00_01_07B8;
05DE:data <=32'h00_00_07B8;
05DF:data <=32'h00_02_07B8;
05E0:data <=32'h0F_00_07B8;
05E1:data <=32'h28_00_0000;
05E2:data <=32'h00_00_06F9;
05E3:data <=32'h00_01_06F9;
05E4:data <=32'h00_00_06F9;
05E5:data <=32'h00_02_06F9;
05E6:data <=32'h0F_00_06F9;
05E7:data <=32'h28_00_0000;
05E8:data <=32'h00_00_0643;
05E9:data <=32'h00_01_0643;
05EA:data <=32'h00_00_0643;
05EB:data <=32'h00_02_0643;
05EC:data <=32'h0F_00_0643;
05ED:data <=32'h28_00_0000;
05EE:data <=32'h00_00_0597;
05EF:data <=32'h00_01_0597;
05F0:data <=32'h00_00_0597;
05F1:data <=32'h00_02_0597;
05F2:data <=32'h0F_00_0597;
05F3:data <=32'h28_00_0000;
05F4:data <=32'h00_00_04F5;
05F5:data <=32'h00_01_04F5;
05F6:data <=32'h00_00_04F5;
05F7:data <=32'h00_02_04F5;
05F8:data <=32'h0F_00_04F5;
05F9:data <=32'h28_00_0000;
05FA:data <=32'h00_00_045C;
05FB:data <=32'h00_01_045C;
05FC:data <=32'h00_00_045C;
05FD:data <=32'h00_02_045C;
05FE:data <=32'h0F_00_045C;
05FF:data <=32'h28_00_0000;
0600:data <=32'h00_00_03CD;
0601:data <=32'h00_01_03CD;
0602:data <=32'h00_00_03CD;
0603:data <=32'h00_02_03CD;
0604:data <=32'h0F_00_03CD;
0605:data <=32'h28_00_0000;
0606:data <=32'h00_00_0347;
0607:data <=32'h00_01_0347;
0608:data <=32'h00_00_0347;
0609:data <=32'h00_02_0347;
060A:data <=32'h0F_00_0347;
060B:data <=32'h28_00_0000;
060C:data <=32'h00_00_02CC;
060D:data <=32'h00_01_02CC;
060E:data <=32'h00_00_02CC;
060F:data <=32'h00_02_02CC;
0610:data <=32'h0F_00_02CC;
0611:data <=32'h28_00_0000;
0612:data <=32'h00_00_025A;
0613:data <=32'h00_01_025A;
0614:data <=32'h00_00_025A;
0615:data <=32'h00_02_025A;
0616:data <=32'h0F_00_025A;
0617:data <=32'h28_00_0000;
0618:data <=32'h00_00_01F1;
0619:data <=32'h00_01_01F1;
061A:data <=32'h00_00_01F1;
061B:data <=32'h00_02_01F1;
061C:data <=32'h0F_00_01F1;
061D:data <=32'h28_00_0000;
061E:data <=32'h00_00_0193;
061F:data <=32'h00_01_0193;
0620:data <=32'h00_00_0193;
0621:data <=32'h00_02_0193;
0622:data <=32'h0F_00_0193;
0623:data <=32'h28_00_0000;
0624:data <=32'h00_00_013E;
0625:data <=32'h00_01_013E;
0626:data <=32'h00_00_013E;
0627:data <=32'h00_02_013E;
0628:data <=32'h0F_00_013E;
0629:data <=32'h28_00_0000;
062A:data <=32'h00_00_00F4;
062B:data <=32'h00_01_00F4;
062C:data <=32'h00_00_00F4;
062D:data <=32'h00_02_00F4;
062E:data <=32'h0F_00_00F4;
062F:data <=32'h28_00_0000;
0630:data <=32'h00_00_00B3;
0631:data <=32'h00_01_00B3;
0632:data <=32'h00_00_00B3;
0633:data <=32'h00_02_00B3;
0634:data <=32'h0F_00_00B3;
0635:data <=32'h28_00_0000;
0636:data <=32'h00_00_007C;
0637:data <=32'h00_01_007C;
0638:data <=32'h00_00_007C;
0639:data <=32'h00_02_007C;
063A:data <=32'h0F_00_007C;
063B:data <=32'h28_00_0000;
063C:data <=32'h00_00_004F;
063D:data <=32'h00_01_004F;
063E:data <=32'h00_00_004F;
063F:data <=32'h00_02_004F;
0640:data <=32'h0F_00_004F;
0641:data <=32'h28_00_0000;
0642:data <=32'h00_00_002C;
0643:data <=32'h00_01_002C;
0644:data <=32'h00_00_002C;
0645:data <=32'h00_02_002C;
0646:data <=32'h0F_00_002C;
0647:data <=32'h28_00_0000;
0648:data <=32'h00_00_0013;
0649:data <=32'h00_01_0013;
064A:data <=32'h00_00_0013;
064B:data <=32'h00_02_0013;
064C:data <=32'h0F_00_0013;
064D:data <=32'h28_00_0000;
064E:data <=32'h00_00_0004;
064F:data <=32'h00_01_0004;
0650:data <=32'h00_00_0004;
0651:data <=32'h00_02_0004;
0652:data <=32'h0F_00_0004;
0653:data <=32'h28_00_0000;
0654:data <=32'h00_00_0000;
0655:data <=32'h00_01_0000;
0656:data <=32'h00_00_0000;
0657:data <=32'h00_02_0000;
0658:data <=32'h0F_00_0000;
0659:data <=32'h28_00_0000;
065A:data <=32'h00_00_0004;
065B:data <=32'h00_01_0004;
065C:data <=32'h00_00_0004;
065D:data <=32'h00_02_0004;
065E:data <=32'h0F_00_0004;
065F:data <=32'h28_00_0000;
0660:data <=32'h00_00_0013;
0661:data <=32'h00_01_0013;
0662:data <=32'h00_00_0013;
0663:data <=32'h00_02_0013;
0664:data <=32'h0F_00_0013;
0665:data <=32'h28_00_0000;
0666:data <=32'h00_00_002C;
0667:data <=32'h00_01_002C;
0668:data <=32'h00_00_002C;
0669:data <=32'h00_02_002C;
066A:data <=32'h0F_00_002C;
066B:data <=32'h28_00_0000;
066C:data <=32'h00_00_004F;
066D:data <=32'h00_01_004F;
066E:data <=32'h00_00_004F;
066F:data <=32'h00_02_004F;
0670:data <=32'h0F_00_004F;
0671:data <=32'h28_00_0000;
0672:data <=32'h00_00_007C;
0673:data <=32'h00_01_007C;
0674:data <=32'h00_00_007C;
0675:data <=32'h00_02_007C;
0676:data <=32'h0F_00_007C;
0677:data <=32'h28_00_0000;
0678:data <=32'h00_00_00B3;
0679:data <=32'h00_01_00B3;
067A:data <=32'h00_00_00B3;
067B:data <=32'h00_02_00B3;
067C:data <=32'h0F_00_00B3;
067D:data <=32'h28_00_0000;
067E:data <=32'h00_00_00F4;
067F:data <=32'h00_01_00F4;
0680:data <=32'h00_00_00F4;
0681:data <=32'h00_02_00F4;
0682:data <=32'h0F_00_00F4;
0683:data <=32'h28_00_0000;
0684:data <=32'h00_00_013E;
0685:data <=32'h00_01_013E;
0686:data <=32'h00_00_013E;
0687:data <=32'h00_02_013E;
0688:data <=32'h0F_00_013E;
0689:data <=32'h28_00_0000;
068A:data <=32'h00_00_0193;
068B:data <=32'h00_01_0193;
068C:data <=32'h00_00_0193;
068D:data <=32'h00_02_0193;
068E:data <=32'h0F_00_0193;
068F:data <=32'h28_00_0000;
0690:data <=32'h00_00_01F1;
0691:data <=32'h00_01_01F1;
0692:data <=32'h00_00_01F1;
0693:data <=32'h00_02_01F1;
0694:data <=32'h0F_00_01F1;
0695:data <=32'h28_00_0000;
0696:data <=32'h00_00_025A;
0697:data <=32'h00_01_025A;
0698:data <=32'h00_00_025A;
0699:data <=32'h00_02_025A;
069A:data <=32'h0F_00_025A;
069B:data <=32'h28_00_0000;
069C:data <=32'h00_00_02CC;
069D:data <=32'h00_01_02CC;
069E:data <=32'h00_00_02CC;
069F:data <=32'h00_02_02CC;
06A0:data <=32'h0F_00_02CC;
06A1:data <=32'h28_00_0000;
06A2:data <=32'h00_00_0347;
06A3:data <=32'h00_01_0347;
06A4:data <=32'h00_00_0347;
06A5:data <=32'h00_02_0347;
06A6:data <=32'h0F_00_0347;
06A7:data <=32'h28_00_0000;
06A8:data <=32'h00_00_03CD;
06A9:data <=32'h00_01_03CD;
06AA:data <=32'h00_00_03CD;
06AB:data <=32'h00_02_03CD;
06AC:data <=32'h0F_00_03CD;
06AD:data <=32'h28_00_0000;
06AE:data <=32'h00_00_045C;
06AF:data <=32'h00_01_045C;
06B0:data <=32'h00_00_045C;
06B1:data <=32'h00_02_045C;
06B2:data <=32'h0F_00_045C;
06B3:data <=32'h28_00_0000;
06B4:data <=32'h00_00_04F5;
06B5:data <=32'h00_01_04F5;
06B6:data <=32'h00_00_04F5;
06B7:data <=32'h00_02_04F5;
06B8:data <=32'h0F_00_04F5;
06B9:data <=32'h28_00_0000;
06BA:data <=32'h00_00_0597;
06BB:data <=32'h00_01_0597;
06BC:data <=32'h00_00_0597;
06BD:data <=32'h00_02_0597;
06BE:data <=32'h0F_00_0597;
06BF:data <=32'h28_00_0000;
06C0:data <=32'h00_00_0643;
06C1:data <=32'h00_01_0643;
06C2:data <=32'h00_00_0643;
06C3:data <=32'h00_02_0643;
06C4:data <=32'h0F_00_0643;
06C5:data <=32'h28_00_0000;
06C6:data <=32'h00_00_06F9;
06C7:data <=32'h00_01_06F9;
06C8:data <=32'h00_00_06F9;
06C9:data <=32'h00_02_06F9;
06CA:data <=32'h0F_00_06F9;
06CB:data <=32'h28_00_0000;
06CC:data <=32'h00_00_07B8;
06CD:data <=32'h00_01_07B8;
06CE:data <=32'h00_00_07B8;
06CF:data <=32'h00_02_07B8;
06D0:data <=32'h0F_00_07B8;
06D1:data <=32'h28_00_0000;
06D2:data <=32'h00_00_0880;
06D3:data <=32'h00_01_0880;
06D4:data <=32'h00_00_0880;
06D5:data <=32'h00_02_0880;
06D6:data <=32'h0F_00_0880;
06D7:data <=32'h28_00_0000;
06D8:data <=32'h00_00_0951;
06D9:data <=32'h00_01_0951;
06DA:data <=32'h00_00_0951;
06DB:data <=32'h00_02_0951;
06DC:data <=32'h0F_00_0951;
06DD:data <=32'h28_00_0000;
06DE:data <=32'h00_00_0A2C;
06DF:data <=32'h00_01_0A2C;
06E0:data <=32'h00_00_0A2C;
06E1:data <=32'h00_02_0A2C;
06E2:data <=32'h0F_00_0A2C;
06E3:data <=32'h28_00_0000;
06E4:data <=32'h00_00_0B10;
06E5:data <=32'h00_01_0B10;
06E6:data <=32'h00_00_0B10;
06E7:data <=32'h00_02_0B10;
06E8:data <=32'h0F_00_0B10;
06E9:data <=32'h28_00_0000;
06EA:data <=32'h00_00_0BFE;
06EB:data <=32'h00_01_0BFE;
06EC:data <=32'h00_00_0BFE;
06ED:data <=32'h00_02_0BFE;
06EE:data <=32'h0F_00_0BFE;
06EF:data <=32'h28_00_0000;
06F0:data <=32'h00_00_0CF4;
06F1:data <=32'h00_01_0CF4;
06F2:data <=32'h00_00_0CF4;
06F3:data <=32'h00_02_0CF4;
06F4:data <=32'h0F_00_0CF4;
06F5:data <=32'h28_00_0000;
06F6:data <=32'h00_00_0DF3;
06F7:data <=32'h00_01_0DF3;
06F8:data <=32'h00_00_0DF3;
06F9:data <=32'h00_02_0DF3;
06FA:data <=32'h0F_00_0DF3;
06FB:data <=32'h28_00_0000;
06FC:data <=32'h00_00_0EFB;
06FD:data <=32'h00_01_0EFB;
06FE:data <=32'h00_00_0EFB;
06FF:data <=32'h00_02_0EFB;
0700:data <=32'h0F_00_0EFB;
0701:data <=32'h28_00_0000;
0702:data <=32'h00_00_100C;
0703:data <=32'h00_01_100C;
0704:data <=32'h00_00_100C;
0705:data <=32'h00_02_100C;
0706:data <=32'h0F_00_100C;
0707:data <=32'h28_00_0000;
0708:data <=32'h00_00_1125;
0709:data <=32'h00_01_1125;
070A:data <=32'h00_00_1125;
070B:data <=32'h00_02_1125;
070C:data <=32'h0F_00_1125;
070D:data <=32'h28_00_0000;
070E:data <=32'h00_00_1248;
070F:data <=32'h00_01_1248;
0710:data <=32'h00_00_1248;
0711:data <=32'h00_02_1248;
0712:data <=32'h0F_00_1248;
0713:data <=32'h28_00_0000;
0714:data <=32'h00_00_1373;
0715:data <=32'h00_01_1373;
0716:data <=32'h00_00_1373;
0717:data <=32'h00_02_1373;
0718:data <=32'h0F_00_1373;
0719:data <=32'h28_00_0000;
071A:data <=32'h00_00_14A6;
071B:data <=32'h00_01_14A6;
071C:data <=32'h00_00_14A6;
071D:data <=32'h00_02_14A6;
071E:data <=32'h0F_00_14A6;
071F:data <=32'h28_00_0000;
0720:data <=32'h00_00_15E1;
0721:data <=32'h00_01_15E1;
0722:data <=32'h00_00_15E1;
0723:data <=32'h00_02_15E1;
0724:data <=32'h0F_00_15E1;
0725:data <=32'h28_00_0000;
0726:data <=32'h00_00_1725;
0727:data <=32'h00_01_1725;
0728:data <=32'h00_00_1725;
0729:data <=32'h00_02_1725;
072A:data <=32'h0F_00_1725;
072B:data <=32'h28_00_0000;
072C:data <=32'h00_00_1871;
072D:data <=32'h00_01_1871;
072E:data <=32'h00_00_1871;
072F:data <=32'h00_02_1871;
0730:data <=32'h0F_00_1871;
0731:data <=32'h28_00_0000;
0732:data <=32'h00_00_19C6;
0733:data <=32'h00_01_19C6;
0734:data <=32'h00_00_19C6;
0735:data <=32'h00_02_19C6;
0736:data <=32'h0F_00_19C6;
0737:data <=32'h28_00_0000;
0738:data <=32'h00_00_1B22;
0739:data <=32'h00_01_1B22;
073A:data <=32'h00_00_1B22;
073B:data <=32'h00_02_1B22;
073C:data <=32'h0F_00_1B22;
073D:data <=32'h28_00_0000;
073E:data <=32'h00_00_1C86;
073F:data <=32'h00_01_1C86;
0740:data <=32'h00_00_1C86;
0741:data <=32'h00_02_1C86;
0742:data <=32'h0F_00_1C86;
0743:data <=32'h28_00_0000;
0744:data <=32'h00_00_1DF2;
0745:data <=32'h00_01_1DF2;
0746:data <=32'h00_00_1DF2;
0747:data <=32'h00_02_1DF2;
0748:data <=32'h0F_00_1DF2;
0749:data <=32'h28_00_0000;
074A:data <=32'h00_00_1F65;
074B:data <=32'h00_01_1F65;
074C:data <=32'h00_00_1F65;
074D:data <=32'h00_02_1F65;
074E:data <=32'h0F_00_1F65;
074F:data <=32'h28_00_0000;
0750:data <=32'h00_00_20E0;
0751:data <=32'h00_01_20E0;
0752:data <=32'h00_00_20E0;
0753:data <=32'h00_02_20E0;
0754:data <=32'h0F_00_20E0;
0755:data <=32'h28_00_0000;
0756:data <=32'h00_00_2262;
0757:data <=32'h00_01_2262;
0758:data <=32'h00_00_2262;
0759:data <=32'h00_02_2262;
075A:data <=32'h0F_00_2262;
075B:data <=32'h28_00_0000;
075C:data <=32'h00_00_23EC;
075D:data <=32'h00_01_23EC;
075E:data <=32'h00_00_23EC;
075F:data <=32'h00_02_23EC;
0760:data <=32'h0F_00_23EC;
0761:data <=32'h28_00_0000;
0762:data <=32'h00_00_257D;
0763:data <=32'h00_01_257D;
0764:data <=32'h00_00_257D;
0765:data <=32'h00_02_257D;
0766:data <=32'h0F_00_257D;
0767:data <=32'h28_00_0000;
0768:data <=32'h00_00_2715;
0769:data <=32'h00_01_2715;
076A:data <=32'h00_00_2715;
076B:data <=32'h00_02_2715;
076C:data <=32'h0F_00_2715;
076D:data <=32'h28_00_0000;
076E:data <=32'h00_00_28B3;
076F:data <=32'h00_01_28B3;
0770:data <=32'h00_00_28B3;
0771:data <=32'h00_02_28B3;
0772:data <=32'h0F_00_28B3;
0773:data <=32'h28_00_0000;
0774:data <=32'h00_00_2A59;
0775:data <=32'h00_01_2A59;
0776:data <=32'h00_00_2A59;
0777:data <=32'h00_02_2A59;
0778:data <=32'h0F_00_2A59;
0779:data <=32'h28_00_0000;
077A:data <=32'h00_00_2C05;
077B:data <=32'h00_01_2C05;
077C:data <=32'h00_00_2C05;
077D:data <=32'h00_02_2C05;
077E:data <=32'h0F_00_2C05;
077F:data <=32'h28_00_0000;
0780:data <=32'h00_00_2DB8;
0781:data <=32'h00_01_2DB8;
0782:data <=32'h00_00_2DB8;
0783:data <=32'h00_02_2DB8;
0784:data <=32'h0F_00_2DB8;
0785:data <=32'h28_00_0000;
0786:data <=32'h00_00_2F72;
0787:data <=32'h00_01_2F72;
0788:data <=32'h00_00_2F72;
0789:data <=32'h00_02_2F72;
078A:data <=32'h0F_00_2F72;
078B:data <=32'h28_00_0000;
078C:data <=32'h00_00_3131;
078D:data <=32'h00_01_3131;
078E:data <=32'h00_00_3131;
078F:data <=32'h00_02_3131;
0790:data <=32'h0F_00_3131;
0791:data <=32'h28_00_0000;
0792:data <=32'h00_00_32F7;
0793:data <=32'h00_01_32F7;
0794:data <=32'h00_00_32F7;
0795:data <=32'h00_02_32F7;
0796:data <=32'h0F_00_32F7;
0797:data <=32'h28_00_0000;
0798:data <=32'h00_00_34C3;
0799:data <=32'h00_01_34C3;
079A:data <=32'h00_00_34C3;
079B:data <=32'h00_02_34C3;
079C:data <=32'h0F_00_34C3;
079D:data <=32'h28_00_0000;
079E:data <=32'h00_00_3694;
079F:data <=32'h00_01_3694;
07A0:data <=32'h00_00_3694;
07A1:data <=32'h00_02_3694;
07A2:data <=32'h0F_00_3694;
07A3:data <=32'h28_00_0000;
07A4:data <=32'h00_00_386B;
07A5:data <=32'h00_01_386B;
07A6:data <=32'h00_00_386B;
07A7:data <=32'h00_02_386B;
07A8:data <=32'h0F_00_386B;
07A9:data <=32'h28_00_0000;
07AA:data <=32'h00_00_3A48;
07AB:data <=32'h00_01_3A48;
07AC:data <=32'h00_00_3A48;
07AD:data <=32'h00_02_3A48;
07AE:data <=32'h0F_00_3A48;
07AF:data <=32'h28_00_0000;
07B0:data <=32'h00_00_3C2B;
07B1:data <=32'h00_01_3C2B;
07B2:data <=32'h00_00_3C2B;
07B3:data <=32'h00_02_3C2B;
07B4:data <=32'h0F_00_3C2B;
07B5:data <=32'h28_00_0000;
07B6:data <=32'h00_00_3E12;
07B7:data <=32'h00_01_3E12;
07B8:data <=32'h00_00_3E12;
07B9:data <=32'h00_02_3E12;
07BA:data <=32'h0F_00_3E12;
07BB:data <=32'h28_00_0000;
07BC:data <=32'h00_00_3FFF;
07BD:data <=32'h00_01_3FFF;
07BE:data <=32'h00_00_3FFF;
07BF:data <=32'h00_02_3FFF;
07C0:data <=32'h0F_00_3FFF;
07C1:data <=32'h28_00_0000;
07C2:data <=32'h00_00_41F1;
07C3:data <=32'h00_01_41F1;
07C4:data <=32'h00_00_41F1;
07C5:data <=32'h00_02_41F1;
07C6:data <=32'h0F_00_41F1;
07C7:data <=32'h28_00_0000;
07C8:data <=32'h00_00_43E7;
07C9:data <=32'h00_01_43E7;
07CA:data <=32'h00_00_43E7;
07CB:data <=32'h00_02_43E7;
07CC:data <=32'h0F_00_43E7;
07CD:data <=32'h28_00_0000;
07CE:data <=32'h00_00_45E3;
07CF:data <=32'h00_01_45E3;
07D0:data <=32'h00_00_45E3;
07D1:data <=32'h00_02_45E3;
07D2:data <=32'h0F_00_45E3;
07D3:data <=32'h28_00_0000;
07D4:data <=32'h00_00_47E2;
07D5:data <=32'h00_01_47E2;
07D6:data <=32'h00_00_47E2;
07D7:data <=32'h00_02_47E2;
07D8:data <=32'h0F_00_47E2;
07D9:data <=32'h28_00_0000;
07DA:data <=32'h00_00_49E7;
07DB:data <=32'h00_01_49E7;
07DC:data <=32'h00_00_49E7;
07DD:data <=32'h00_02_49E7;
07DE:data <=32'h0F_00_49E7;
07DF:data <=32'h28_00_0000;
07E0:data <=32'h00_00_4BEF;
07E1:data <=32'h00_01_4BEF;
07E2:data <=32'h00_00_4BEF;
07E3:data <=32'h00_02_4BEF;
07E4:data <=32'h0F_00_4BEF;
07E5:data <=32'h28_00_0000;
07E6:data <=32'h00_00_4DFB;
07E7:data <=32'h00_01_4DFB;
07E8:data <=32'h00_00_4DFB;
07E9:data <=32'h00_02_4DFB;
07EA:data <=32'h0F_00_4DFB;
07EB:data <=32'h28_00_0000;
07EC:data <=32'h00_00_500C;
07ED:data <=32'h00_01_500C;
07EE:data <=32'h00_00_500C;
07EF:data <=32'h00_02_500C;
07F0:data <=32'h0F_00_500C;
07F1:data <=32'h28_00_0000;
07F2:data <=32'h00_00_5220;
07F3:data <=32'h00_01_5220;
07F4:data <=32'h00_00_5220;
07F5:data <=32'h00_02_5220;
07F6:data <=32'h0F_00_5220;
07F7:data <=32'h28_00_0000;
07F8:data <=32'h00_00_5438;
07F9:data <=32'h00_01_5438;
07FA:data <=32'h00_00_5438;
07FB:data <=32'h00_02_5438;
07FC:data <=32'h0F_00_5438;
07FD:data <=32'h28_00_0000;
07FE:data <=32'h00_00_5653;
07FF:data <=32'h00_01_5653;
0800:data <=32'h00_00_5653;
0801:data <=32'h00_02_5653;
0802:data <=32'h0F_00_5653;
0803:data <=32'h28_00_0000;
0804:data <=32'h00_00_5871;
0805:data <=32'h00_01_5871;
0806:data <=32'h00_00_5871;
0807:data <=32'h00_02_5871;
0808:data <=32'h0F_00_5871;
0809:data <=32'h28_00_0000;
080A:data <=32'h00_00_5A92;
080B:data <=32'h00_01_5A92;
080C:data <=32'h00_00_5A92;
080D:data <=32'h00_02_5A92;
080E:data <=32'h0F_00_5A92;
080F:data <=32'h28_00_0000;
0810:data <=32'h00_00_5CB7;
0811:data <=32'h00_01_5CB7;
0812:data <=32'h00_00_5CB7;
0813:data <=32'h00_02_5CB7;
0814:data <=32'h0F_00_5CB7;
0815:data <=32'h28_00_0000;
0816:data <=32'h00_00_5EDE;
0817:data <=32'h00_01_5EDE;
0818:data <=32'h00_00_5EDE;
0819:data <=32'h00_02_5EDE;
081A:data <=32'h0F_00_5EDE;
081B:data <=32'h28_00_0000;
081C:data <=32'h00_00_6107;
081D:data <=32'h00_01_6107;
081E:data <=32'h00_00_6107;
081F:data <=32'h00_02_6107;
0820:data <=32'h0F_00_6107;
0821:data <=32'h28_00_0000;
0822:data <=32'h00_00_6334;
0823:data <=32'h00_01_6334;
0824:data <=32'h00_00_6334;
0825:data <=32'h00_02_6334;
0826:data <=32'h0F_00_6334;
0827:data <=32'h28_00_0000;
0828:data <=32'h00_00_6562;
0829:data <=32'h00_01_6562;
082A:data <=32'h00_00_6562;
082B:data <=32'h00_02_6562;
082C:data <=32'h0F_00_6562;
082D:data <=32'h28_00_0000;
082E:data <=32'h00_00_6792;
082F:data <=32'h00_01_6792;
0830:data <=32'h00_00_6792;
0831:data <=32'h00_02_6792;
0832:data <=32'h0F_00_6792;
0833:data <=32'h28_00_0000;
0834:data <=32'h00_00_69C5;
0835:data <=32'h00_01_69C5;
0836:data <=32'h00_00_69C5;
0837:data <=32'h00_02_69C5;
0838:data <=32'h0F_00_69C5;
0839:data <=32'h28_00_0000;
083A:data <=32'h00_00_6BF9;
083B:data <=32'h00_01_6BF9;
083C:data <=32'h00_00_6BF9;
083D:data <=32'h00_02_6BF9;
083E:data <=32'h0F_00_6BF9;
083F:data <=32'h28_00_0000;
0840:data <=32'h00_00_6E2E;
0841:data <=32'h00_01_6E2E;
0842:data <=32'h00_00_6E2E;
0843:data <=32'h00_02_6E2E;
0844:data <=32'h0F_00_6E2E;
0845:data <=32'h28_00_0000;
0846:data <=32'h00_00_7065;
0847:data <=32'h00_01_7065;
0848:data <=32'h00_00_7065;
0849:data <=32'h00_02_7065;
084A:data <=32'h0F_00_7065;
084B:data <=32'h28_00_0000;
084C:data <=32'h00_00_729D;
084D:data <=32'h00_01_729D;
084E:data <=32'h00_00_729D;
084F:data <=32'h00_02_729D;
0850:data <=32'h0F_00_729D;
0851:data <=32'h28_00_0000;
0852:data <=32'h00_00_74D7;
0853:data <=32'h00_01_74D7;
0854:data <=32'h00_00_74D7;
0855:data <=32'h00_02_74D7;
0856:data <=32'h0F_00_74D7;
0857:data <=32'h28_00_0000;
0858:data <=32'h00_00_7711;
0859:data <=32'h00_01_7711;
085A:data <=32'h00_00_7711;
085B:data <=32'h00_02_7711;
085C:data <=32'h0F_00_7711;
085D:data <=32'h28_00_0000;
085E:data <=32'h00_00_794C;
085F:data <=32'h00_01_794C;
0860:data <=32'h00_00_794C;
0861:data <=32'h00_02_794C;
0862:data <=32'h0F_00_794C;
0863:data <=32'h28_00_0000;
0864:data <=32'h00_00_7B87;
0865:data <=32'h00_01_7B87;
0866:data <=32'h00_00_7B87;
0867:data <=32'h00_02_7B87;
0868:data <=32'h0F_00_7B87;
0869:data <=32'h28_00_0000;
086A:data <=32'h00_00_7DC3;
086B:data <=32'h00_01_7DC3;
086C:data <=32'h00_00_7DC3;
086D:data <=32'h00_02_7DC3;
086E:data <=32'h0F_00_7DC3;
086F:data <=32'h28_00_0000;
//----<Replace End>----
default:data <= 32'h00_00_0000;
endcase
end
end
endmodule
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment