Skip to content

Instantly share code, notes, and snippets.

@umuturan
Created November 7, 2016 17:11
Show Gist options
  • Save umuturan/50b8d5f976ac1a58dc5ef7784dfaa172 to your computer and use it in GitHub Desktop.
Save umuturan/50b8d5f976ac1a58dc5ef7784dfaa172 to your computer and use it in GitHub Desktop.
set_property PACKAGE_PIN W5 [get_ports {default_Clock_Top}]
set_property IOSTANDARD LVCMOS33 [get_ports {default_Clock_Top}]
set_property PACKAGE_PIN L1 [get_ports {Custom_Clock_Top(3)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(3)}]
set_property PACKAGE_PIN P1 [get_ports {Custom_Clock_Top(2)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(2)}]
set_property PACKAGE_PIN N3 [get_ports {Custom_Clock_Top(1)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(1)}]
set_property PACKAGE_PIN P3 [get_ports {Custom_Clock_Top(0)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(0)}]
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment