Skip to content

Instantly share code, notes, and snippets.

-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
set_property PACKAGE_PIN W5 [get_ports {default_Clock_Top}]
set_property IOSTANDARD LVCMOS33 [get_ports {default_Clock_Top}]
set_property PACKAGE_PIN L1 [get_ports {Custom_Clock_Top(3)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(3)}]
set_property PACKAGE_PIN P1 [get_ports {Custom_Clock_Top(2)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(2)}]
set_property PACKAGE_PIN N3 [get_ports {Custom_Clock_Top(1)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(1)}]
set_property PACKAGE_PIN P3 [get_ports {Custom_Clock_Top(0)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(0)}]
set_property PACKAGE_PIN W5 [get_ports {default_Clock_Top}]
set_property IOSTANDARD LVCMOS33 [get_ports {default_Clock_Top}]
set_property PACKAGE_PIN L1 [get_ports {Custom_Clock_Top(3)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(3)}]
set_property PACKAGE_PIN P1 [get_ports {Custom_Clock_Top(2)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(2)}]
set_property PACKAGE_PIN N3 [get_ports {Custom_Clock_Top(1)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(1)}]
set_property PACKAGE_PIN P3 [get_ports {Custom_Clock_Top(0)}]
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(0)}]
public class SimpleLinkedList {
Node head;
public SimpleLinkedList() {
head = null;
}
public void addToHead(String S) {
package project01;
import java.util.ArrayList;
import java.util.Iterator;
public class Calendar {
// properties
private ArrayList<Task> tasks;
// constructor
package MVC;
/*
*LAB03
*Circle CLASS
* Extends Shape implements Selectable
*Author:@Umut Can Turan
*21401929
*Section 06
*/
public class Circle {
@umuturan
umuturan / PotLuck Class
Last active March 13, 2016 09:02
LAB04-A
import java.awt.*;
import java.awt.event.*;
import javax.swing.*;
public class PotLuck extends JFrame {
static JButton[] buttons;
JButton close;
JLabel statuBar;
int clickCount;
@umuturan
umuturan / Card Class
Created February 28, 2016 19:24
CardGame
package cardgame;
/**
* Card - a single playing card
*
* @author Umut Turan
* @version 16/02/2016
*/
public class Card {
@umuturan
umuturan / IntBag Class
Created February 25, 2016 17:57
Lab-03B
import java.util.Arrays;
import java.util.Iterator;
/*
*Lab01
*IntBag Class
*Author:
*@Umut Can Turan
*21401929
*Section 06
@umuturan
umuturan / Circle Class
Last active June 23, 2016 14:38
Lab-03A
/*
*LAB03
*Circle CLASS
* Extends Shape implements Selectable
*Author:@Umut Can Turan
*21401929
*Section 06
*/
public class Circle extends Shape implements Selectable {