This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
-- Uncomment the following library declaration if instantiating | |
-- any Xilinx leaf cells in this code. | |
--library UNISIM; | |
--use UNISIM.VComponents.all; | |
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
-- Uncomment the following library declaration if using | |
-- arithmetic functions with Signed or Unsigned values |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
set_property PACKAGE_PIN W5 [get_ports {default_Clock_Top}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {default_Clock_Top}] | |
set_property PACKAGE_PIN L1 [get_ports {Custom_Clock_Top(3)}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(3)}] | |
set_property PACKAGE_PIN P1 [get_ports {Custom_Clock_Top(2)}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(2)}] | |
set_property PACKAGE_PIN N3 [get_ports {Custom_Clock_Top(1)}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(1)}] | |
set_property PACKAGE_PIN P3 [get_ports {Custom_Clock_Top(0)}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(0)}] |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
set_property PACKAGE_PIN W5 [get_ports {default_Clock_Top}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {default_Clock_Top}] | |
set_property PACKAGE_PIN L1 [get_ports {Custom_Clock_Top(3)}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(3)}] | |
set_property PACKAGE_PIN P1 [get_ports {Custom_Clock_Top(2)}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(2)}] | |
set_property PACKAGE_PIN N3 [get_ports {Custom_Clock_Top(1)}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(1)}] | |
set_property PACKAGE_PIN P3 [get_ports {Custom_Clock_Top(0)}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {Custom_Clock_Top(0)}] |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
public class SimpleLinkedList { | |
Node head; | |
public SimpleLinkedList() { | |
head = null; | |
} | |
public void addToHead(String S) { |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
package project01; | |
import java.util.ArrayList; | |
import java.util.Iterator; | |
public class Calendar { | |
// properties | |
private ArrayList<Task> tasks; | |
// constructor |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
package MVC; | |
/* | |
*LAB03 | |
*Circle CLASS | |
* Extends Shape implements Selectable | |
*Author:@Umut Can Turan | |
*21401929 | |
*Section 06 | |
*/ | |
public class Circle { |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
import java.awt.*; | |
import java.awt.event.*; | |
import javax.swing.*; | |
public class PotLuck extends JFrame { | |
static JButton[] buttons; | |
JButton close; | |
JLabel statuBar; | |
int clickCount; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
package cardgame; | |
/** | |
* Card - a single playing card | |
* | |
* @author Umut Turan | |
* @version 16/02/2016 | |
*/ | |
public class Card { |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
import java.util.Arrays; | |
import java.util.Iterator; | |
/* | |
*Lab01 | |
*IntBag Class | |
*Author: | |
*@Umut Can Turan | |
*21401929 | |
*Section 06 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/* | |
*LAB03 | |
*Circle CLASS | |
* Extends Shape implements Selectable | |
*Author:@Umut Can Turan | |
*21401929 | |
*Section 06 | |
*/ | |
public class Circle extends Shape implements Selectable { |
NewerOlder