Skip to content

Instantly share code, notes, and snippets.

@yupferris
Last active September 5, 2019 17:11
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save yupferris/bb7c590953120120fcb736cf2fe5e65d to your computer and use it in GitHub Desktop.
Save yupferris/bb7c590953120120fcb736cf2fe5e65d to your computer and use it in GitHub Desktop.
Simplest possible .sdc for future reference
create_clock -name max10_clk_50 -period 20 [get_ports {max10_clk_50}]
# Note - can use `derive_pll_clocks -create_base_clocks` without specifying input clocks to derive everything when PLL's are used for all clocks
derive_pll_clocks
derive_clock_uncertainty
# Don't constrain async reset signal
set_false_path -from [get_ports {reset_n}] -to [all_registers]
# Don't constrain LED output
set_false_path -from [all_registers] -to [get_ports {leds*}]
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment