I hereby claim:
- I am aidenfoxivey on github.
- I am aidenfoxivey (https://keybase.io/aidenfoxivey) on keybase.
- I have a public key ASAyz26CWZLl4FLTbxO3zWLf4_UhBn6pkCwcjArZk8HH6Qo
To claim this, I am signing this object:
module bcd_counter ( | |
input clk, | |
output reg [3:0] digit1, | |
output reg [3:0] digit2, | |
output reg [3:0] digit3 | |
); | |
always @(posedge clk) begin | |
// wrap around logic | |
if (digit1 == 4'd2 && digit2 == 4'd5 && digit3 == 4'd5) begin |
module crc32 ( | |
input wire clk, | |
input wire reset, | |
input wire [7:0] data_in, | |
output wire [7:0] data_out, | |
output wire crc_valid | |
); | |
reg [31:0] crc_reg; |
I hereby claim:
To claim this, I am signing this object:
openpgp4fpr:61605C2245761FC2200F078684B78FF77616E348
$argon2id$v=19$m=512,t=256,p=1$CFg1DY2s7hPciYHF42NwOw$xKx/AITbWO3k3S1XxCIu4NUc+4TnICJB3SMGqfZzplM
#!/usr/bin/env python3 | |
import subprocess | |
import time | |
import csv | |
import socket | |
import re | |
def main(): |
# put in .cargo/config | |
[build] | |
target="mips-unknown-linux-musl" | |
[target.mips-unknown-linux-musl] | |
linker="/cosine/shared/mips-linux-musl-cross/bin/mips-linux-musl-gcc" |
use std::cell::{RefCell, RefMut}; | |
use std::collections::HashMap; | |
use std::rc::Rc; | |
pub use num::{One, Zero}; | |
use std::cmp::PartialEq; | |
pub use std::ops::{Add, Mul}; | |
pub trait Numeric: Zero + One + Copy + Clone + Mul + Add + PartialEq + std::fmt::Debug {} | |
// https://stackoverflow.com/questions/42381185/specifying-generic-parameter-to-belong-to-a-small-set-of-types |
# Teon Brooks, Aiden Fox Ivey 2023 | |
import os | |
import time | |
# Any live cell with two or three live neighbours survives. | |
# Any dead cell with three live neighbours becomes a live cell. | |
# All other live cells die in the next generation. Similarly, all other dead cells stay dead. | |
def display(board): |