This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
// Chisel 3.4.0 w/ compiler pulg-in version | |
module Idu( | |
input io_ifu2idu_valid, | |
output io_ifu2idu_ready, | |
input [31:0] io_ifu2idu_inst, | |
input io_idu2exu_inst_ready, | |
output io_idu2exu_inst_valid, | |
output [31:0] io_idu2exu_inst_bits_rawData, | |
output [6:0] io_idu2exu_inst_bits_funct7, | |
output [4:0] io_idu2exu_inst_bits_rs2, |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
[info] Running chisel3.iotesters.Driver | |
Usage: chisel-testers [options] [<arg>...] | |
common options | |
-tn, --top-name <top-level-circuit-name> | |
This options defines the top level circuit, defaults to dut when possible | |
-td, --target-dir <target-directory> | |
This options defines a work directory for intermediate files, default is . | |
-ll, --log-level <Error|Warn|Info|Debug|Trace> | |
This options defines a work directory for intermediate files, default is . |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module SampleBundleRegInit2( | |
input clock, | |
input reset, | |
input io_en, | |
input [31:0] io_data, | |
output [3:0] io_out1_a, | |
output [3:0] io_out1_b, | |
output [3:0] io_out2_a, | |
output [3:0] io_out2_b | |
); |
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
scalaVersion := "2.11.12" | |
resolvers ++= Seq( | |
Resolver.sonatypeRepo("snapshots"), | |
Resolver.sonatypeRepo("releases") | |
) | |
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.0-SNAPSHOT" | |
libraryDependencies += "edu.berkeley.cs" %% "chisel-iotesters" % "[1.2.5,1.3-SNAPSHOT[" |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
import chisel3._ | |
import chisel3.iotesters | |
import chisel3.iotesters.PeekPokeTester | |
class Top(in0Bits: Int, in1Bits: Int) extends Module { | |
val io = IO(new Bundle { | |
val in0 = Input(UInt(in0Bits.W)) | |
val in1 = Input(UInt(in0Bits.W)) | |
val out = Output(UInt((in0Bits+1).W)) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
module cmd5HelperRegisterFile( // @[:@3.2] | |
input clock, // @[:@4.4] | |
input reset, // @[:@5.4] | |
input io_wen, // @[:@6.4] | |
input [4:0] io_waddr, // @[:@6.4] | |
input [31:0] io_wdata, // @[:@6.4] | |
input [4:0] io_raddr_0, // @[:@6.4] | |
input [4:0] io_raddr_1, // @[:@6.4] | |
output [31:0] io_rdata_0, // @[:@6.4] | |
output [31:0] io_rdata_1 // @[:@6.4] |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/chisel3_2.11-3.1.0.pom.sha1 | |
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/chisel3_2.11-3.1.0.pom | |
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/chisel3_2.11-3.1.0.pom.sha1 | |
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/chisel3_2.11-3.1.0.pom | |
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/ | |
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.11/3.1.0/ | |
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.11/1.1.0/firrtl_2.11-1.1.0.pom | |
Downloading https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.11/1.1.0/firrtl_2.11-1.1.0.pom.sha1 | |
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.11/1.1.0/firrtl_2.11-1.1.0.pom | |
Downloaded https://repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.11/1.1.0/firrtl_2.11-1.1.0.pom.sha1 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
dnn-admin@dnn:~$ uname -a | |
Linux dnn 4.15.0-36-generic #39~16.04.1-Ubuntu SMP Tue Sep 25 08:59:23 UTC 2018 x86_64 x86_64 x86_64 GNU/Linux | |
dnn-admin@dnn:~$ conda create -n jupyter-scala python=3 | |
Fetching package metadata ........... | |
Solving package specifications: . | |
Package plan for installation in environment /usr/local/src/app/pyenv/versions/miniconda3-4.3.11/envs/jupyter-scala: | |
The following NEW packages will be INSTALLED: |
NewerOlder