`rv32ui` | RV32 user-level, integer only
`rv32si` | RV32 supervisor-level, integer only
`rv64ui` | RV64 user-level, integer only
`rv64uf` | RV64 user-level, integer and floating-point
`rv64uv` | RV64 user-level, integer, floating-point, and vector
`rv64si` | RV64 supervisor-level, integer only
`rv64sv` | RV64 supervisor-level, integer and vector
- Click IP server, wait until list of IP modules load
- Select version 3.1, right click install, install under /mnt/raid/ip/ecp3/
- Configure and select project path as src/main/resources/ecp3, file name = ip_ddr3_sdram, device = LFE3-35EA-8FN484C
Synplify users are recommended to use FDC format constraint files. I have found the TCL View and constraint syntax checker in constraint editor GUI to be helpful.
# clocks
create_clock -name {clk_20} [get_ports {clock}] -period 20
# inputs/output delays
set_input_delay -clock {c:clk_20} -add_delay [expr {20*0.01}] [all_inputs]
set_output_delay -clock {c:clk_20} -add_delay [expr {20*0.01}] [all_outputs]
TBD
See: https://www.analyticsvidhya.com/blog/2017/01/scala/
- Lazy operation: operations that do not execute until we require results
- Spark Context: holds a context with Spark cluster manager
Download tool from here. Unzip, then launch: bin/zeppelin-daemon.sh start
Then, browse to http://localhost:9009/#/
Here is an example Systemd service file:
$ cat /etc/systemd/system/zeppelin.service
[Unit]
Official wiki has excellent documentation.
Example commands:
sudo ufw allow ssh/tcp
-
To deploy riscv-ci on docker, with preserved data.
mkdir -p docker/riscv-ci cd docker/riscv-ci
- Create an image on top of the Jenkins image with log, cache directories and java opts
- Liberty (LIB) defines PVT, timing, power, noise, and other characteristics of cell library
- Liberty Exchange Foramt (LEF) includes design rules and abstract information about cells
- Design Exchange Format (DEF) represents the netlist and circuit layout
High-Vt, Low-Vt, Standard-Vt. Used to implement multi-threshold low power techniques. info
- HVT: less power, worse timing, used for power critical functions.
- LVT: more power, better timing, used in timing critical functions
- SVT: offers tradeoff between LVT and HVT
Subthreshold condution is one component of leakage. The amount of subthreshold conduction is set by the threshold voltgage, which sits between ground and the supply voltage.
From Multi-channel length: