Skip to content

Instantly share code, notes, and snippets.

View esden's full-sized avatar

Piotr Esden-Tempski esden

View GitHub Profile
@esden
esden / single-led-panel-pmod-phy.wd
Created March 19, 2019 07:06
Wavedrom listing describing the timing diagram of an iCEBreaker single Pmod LED Panel driver
{signal: [
['Input',
{name: 'R', wave: 'x4343434343434343x..........', data: ['R1[0]','R0[0]','R1[1]','R0[1]','R1[2]','R0[2]','R1[3]','R0[3]','R1[4]','R0[4]','R1[5]','R0[5]','R1[6]','R0[6]','R1[7]','R0[7]']},
{name: 'G', wave: 'x4343434343434343x..........', data: ['G1[0]','G0[0]','G1[1]','G0[1]','G1[2]','G0[2]','G1[3]','G0[3]','G1[4]','G0[4]','G1[5]','G0[5]','G1[6]','G0[6]','G1[7]','G0[7]']},
{name: 'B', wave: 'x4343434343434343x..........', data: ['B1[0]','B0[0]','B1[1]','B0[1]','B1[2]','B0[2]','B1[3]','B0[3]','B1[4]','B0[4]','B1[5]','B0[5]','B1[6]','B0[6]','B1[7]','B0[7]']},
{name: 'SCLK', wave: 'hn.......h....', period:2, phase:0.5},
{name: 'LATCH', wave: '0........10...', period:2},
{name: 'BLANK', wave: 'x.......1..0..', period:2},
{name: 'ARST', wave: '1.............', period:2},
{name: 'ACLK', wave: '0........10...', period:2}
@esden
esden / led-demo.pcf
Last active October 25, 2018 18:34
This is the generated verilog from @scanlime icebreaker-icestudio-ledmatrix design af3b1559
# Code generated by Icestudio 0.4.0-dev
# Thu, 25 Oct 2018 18:32:14 GMT
set_io v5beaf0[4] 28
set_io v5beaf0[3] 31
set_io v5beaf0[2] 34
set_io v5beaf0[1] 38
set_io v5beaf0[0] 43
set_io vb20513[5] 3
set_io vb20513[4] 48
/*
* This file is part of the libopencm3 project.
*
* Copyright (C) 2015 Piotr Esden-Tempski <piotr@esden.net>
*
* This library is free software: you can redistribute it and/or modify
* it under the terms of the GNU Lesser General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
@esden
esden / lisas2.h
Created April 22, 2018 22:46
Lisa/S V2.0 generated board file
/*
ChibiOS - Copyright (C) 2006..2015 Giovanni Di Sirio
Licensed under the Apache License, Version 2.0 (the "License");
you may not use this file except in compliance with the License.
You may obtain a copy of the License at
http://www.apache.org/licenses/LICENSE-2.0
Unless required by applicable law or agreed to in writing, software
@esden
esden / icebreaker-bitsy.pcf
Created March 29, 2018 08:02
iCEBreaker-bitsy Yosys PinConstraintFile
# 12 MHz clock
set_io -nowarn CLK 35
# USB
set_io -nowarn USB_DP 43
set_io -nowarn USB_DN 42
set_io -nowarn UPB_DET 38
# LEDs and Button
set_io -nowarn BTN_N 10
<!--XSL style sheet to convert EESCHEMA XML Partlist Format to grouped TSV BOM Format
Copyright (C) 2014, Wolf Walter.
Copyright (C) 2013, Stefan Helmert.
GPL v2.
Functionality:
Generation of Digi-Key ordering system compatible BOM
How to use this is explained in eeschema.pdf chapter 14. You enter a command line into the
netlist exporter using a new (custom) tab in the netlist export dialog. The command is
* Lenovo W53 streaming, demo and everything laptop
* Dell 24" 1080p monutor as secondary monitor
* Logitech C920 face webcam
* Magewell HMDI to USB adapter for the Microscope camera
* AMScope trinocular simulfocal microscope
* AiHome HDMI Full HD microscope camera
* Sennhizer EW100 lapel microphone
* SYBA USB audio interface
@esden
esden / dma-dac.c
Created September 1, 2017 18:01
1Bitsy 1UP DMA-DAC test example code
/*
* This file is part of the libopencm3 project.
*
* Copyright (C) 2014 Ken Sarkies <ksarkies@internode.on.net>
* Copyright (C) 2017 Piotr Esden-Tempski <piotr@esden.net>
*
* This library is free software: you can redistribute it and/or modify
* it under the terms of the GNU Lesser General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
@esden
esden / commands.sh
Created June 21, 2017 20:34
Setting up a new project based on 1bitsy-locm3-template
# Clone the original template repository
git clone https://github.com/1Bitsy/1bitsy-locm3-template.git
# enter the newly cloned template
cd 1bitsy-locm3-template
# Clone and test compile the template repository
git submodule init
git submodule update
make
# Edit readme file and source code to reflect that it is your new project, you can use that time to remove the references to the template repository
subl/atom/vi README.md
@esden
esden / blackmagic_V1_6_release_notes.md
Last active April 22, 2017 00:48
Black Magic Probe V1.6 Firmware release notes.

We are happy to announce the release of V1.6 Black Magic Probe firmware.

In the past we were considering releases that were shipping on Black Magic Probe hardware as stable releases without official release notes. This is an attempt to put together most important changes that were happening between the different tagged revisions of the repository.

Black Magic V1.6

This version shipps on V2.1 Black Magic Probe hardware.

This version has been tested using the new bmp-test suite. The results of the tests can be found in the V1.6 release GitHub Issue.