Skip to content

Instantly share code, notes, and snippets.

View fbegyn's full-sized avatar

Francis Begyn fbegyn

View GitHub Profile
@fbegyn
fbegyn / chat.go
Created December 19, 2015 14:50
simple golang chat server
package main
import (
"bufio"
"net"
)
type Client struct {
incoming chan string
outgoing chan string
@fbegyn
fbegyn / mysql2sqlite.sh
Created May 26, 2016 15:16 — forked from esperlu/mysql2sqlite.sh
MySQL to Sqlite converter
#!/bin/sh
# Converts a mysqldump file into a Sqlite 3 compatible file. It also extracts the MySQL `KEY xxxxx` from the
# CREATE block and create them in separate commands _after_ all the INSERTs.
# Awk is choosen because it's fast and portable. You can use gawk, original awk or even the lightning fast mawk.
# The mysqldump file is traversed only once.
# Usage: $ ./mysql2sqlite mysqldump-opts db-name | sqlite3 database.sqlite
# Example: $ ./mysql2sqlite --no-data -u root -pMySecretPassWord myDbase | sqlite3 database.sqlite
@fbegyn
fbegyn / keybase.md
Last active October 24, 2017 19:35

Keybase proof

I hereby claim:

  • I am fbegyn on github.
  • I am fbegyn (https://keybase.io/fbegyn) on keybase.
  • I have a public key ASDi_pZkkJnCA6R11HeJPS5E6BPVXXJd-ze9QBVZyH338Ao

To claim this, I am signing this object:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.ALL;
entity keyboard is
port(
clk : in std_ulogic;
one : in std_ulogic;
rst : in std_ulogic;
one_pressed : in std_ulogic
-------------------------------------------------------------
-- authors: Tom Davidson and Peter Bertels
-- date: 2009-10-14
-------------------------------------------------------------
-- Complex Systems Design Methodology
-- Calculator - top level
-------------------------------------------------------------
library ieee, std;
use ieee.std_logic_1164.all;
----------------------------------------------------------------------------------
-- Company: UGent - DMCS project
-- Student: Francis Begyn
--
-- Create Date: 1/11/2017
-- Design Name: Convolutional kernel
-- Module Name: entity - Behavioral
-- Project Name: FPGA Convolutional neural networks implentation
-- Target Devices: Xilinxs Zynq XC7Z020CLG484-1 FPGA.
-- Tool Versions: GHDL
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package conv_pkg is
type matrix3 is array(natural range<>, natural range<>, natural range<>) of integer range -2**15+1 to 2**15-1;
end package;
----------------------------------------------------------------------------------
-- Company: UGent - DMCS project
-- Student: Francis Begyn
--
-- Create Date: 1/11/2017
-- Design Name: Convolutional kernel
-- Module Name: entity - Behavioral
-- Project Name: FPGA Convolutional neural networks implentation
-- Target Devices: Xilinxs Zynq XC7Z020CLG484-1 FPGA.
-- Tool Versions: GHDL

Effective Engineer - Notes

What's an Effective Engineer?

  • They are the people who get things done. Effective Engineers produce results.

Adopt the Right Mindsets

Effective Engineer - Notes

What's an Effective Engineer?

  • They are the people who get things done. Effective Engineers produce results.

Adopt the Right Mindsets