This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
function cmp_sym() | |
N = 20; % NOTE: sym2 to sym20. | |
M = 2; | |
b2 = zeros(N, M); | |
for n = 2:N; | |
for m = 1:M; | |
[n, m] | |
switch (m) | |
case 1 | |
g = coe_sym(n, 1); % NOTE: LUT from PyWavelets. |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <algorithm> | |
#include <cmath> | |
#include <complex> | |
#include <iostream> | |
#include <ios> | |
#include <iomanip> | |
#include <limits> | |
#include <numeric> | |
#include <vector> | |
#include <boost/math/tools/polynomial.hpp> |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
diff --git a/wavelets/wfilt_db.m b/wavelets/wfilt_db.m | |
index 35c3cada..7016739a 100644 | |
--- a/wavelets/wfilt_db.m | |
+++ b/wavelets/wfilt_db.m | |
@@ -1,4 +1,4 @@ | |
-function [h, g, a, info] = wfilt_db(N) | |
+function [h, g, a, info] = wfilt_db(N, coe = 0, compat = 1, dedeg = 0, il = 1) | |
%WFILT_DB Daubechies FIR filterbank | |
% Usage: [h,g] = wfilt_db(N); | |
% |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
function cmp_db() | |
N = 38; % NOTE: db1 to db38. | |
M = 3; | |
b2 = zeros(N, M); | |
for n = 1:N; | |
for m = 1:M; | |
[n, m] | |
switch (m) | |
case 1 | |
g = coe_db(n, 1); % NOTE: LUT from PyWavelets. |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/bin/sh | |
guess_prefix() { | |
if [ -x ./src/nvc ] ; | |
then | |
# NOTE: in-tree build, on top build = srouce directly. | |
echo "." ; | |
else | |
if [ -x ../src/nvc ] ; | |
then |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
use ieee.math_real.all; | |
package ROM_3D_PKG is | |
component ROM_3D is | |
generic ( | |
Lx : integer range 1 to integer'high := 8; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
package gcnt_pkg is | |
component gcnt is | |
generic ( | |
w : integer range 1 to integer'high := 8; | |
async : boolean := false | |
); |