Skip to content

Instantly share code, notes, and snippets.

View ikwzm's full-sized avatar

KAWAZOME Ichiro ikwzm

  • Japan
  • 09:08 (UTC +09:00)
View GitHub Profile
@ikwzm
ikwzm / uEnv.txt
Last active August 29, 2015 14:06
u-boot environment file for boot "uImage" & "devicetree.dtb" & no rootfs.
uenvcmd=fatload mmc 0 0x03000000 uImage && fatload mmc 0 0x02A00000 devicetree.dtb && bootm 0x03000000 - 0x02A00000
@ikwzm
ikwzm / init.el
Last active August 29, 2015 14:05
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; ロードパスを追加
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
(setq load-path (cons "~/.xemacs" load-path))
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; キーアサイン
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
(progn
(global-set-key "\C-a" 'backward-word)
@ikwzm
ikwzm / sll64_8_8.v
Created May 11, 2013 02:03
こうじゃない?
/*
module sll64_byte (indata,val_nnnnxxx,outdata);
input [63:0] indata;
input [3:0] val_nnnnxxx; // shift
output [63:0] outdata;
wire [6:0] shift_v;
assign shift_v={val_nnnnxxx,3'b000};
assign outdata=indata<<shift_v;
endmodule
@ikwzm
ikwzm / barrel_shifter.v
Created May 6, 2013 03:13
バレルシフタって、こんなんじゃなかったかな~?
module BARREL_SHIFTER(indata, val, outdata);
parameter integer data_bits = 64;
parameter integer val_bits = 32;
input [data_bits-1:0] indata;
input [ val_bits-1:0] val;
output [data_bits-1:0] outdata;
function integer calc_max(input integer bits);
for (calc_max = 1; (2**calc_max) <= bits; calc_max = calc_max + 1) begin end
endfunction
module gen_table_top (
input wire clk,
input wire [8:0] neigbors,
output reg result
);
reg [511:0] is_live_table;
reg [8:0] neigbors_r;
wire wdata;
function is_live;
@ikwzm
ikwzm / Readme.md
Created November 21, 2012 10:33
AXI Sample IP for Altera QuartusII(12.1)+Qsys

Altera QuartusII 12.1 から Qsys で AXI4 がサポートされました。 そこで、かんたんなサンプル(テンプレート)を作ってみました。

@ikwzm
ikwzm / Readme.md
Created April 4, 2012 23:19
Mixed MT19937AR and TinyMT32 simulation.

Mixed MT19937AR and TinyMT32 simulation.

先日知り合いから「MT19937AR パッケージと TINYMT32 パッケージで、同じタイプ名を使ってるので 混在できないんじゃない?」というツッコミがあって、「ぬ?こうすりゃ出来るよ」と言う話になって、 その例を書いてみた。

@ikwzm
ikwzm / Makefile
Created April 4, 2012 12:13
Data Path Reducer (Data Width Converter) VHDL Model.
GHDL=ghdl
GHDLFLAGS=--mb-comments
WORK=work
TEST_BENCH = test_bench_dwc_w08_i1_o1_q0_j0 \
test_bench_dwc_w08_i1_o2_q0_j0 \
test_bench_dwc_w08_i1_o3_q0_j0 \
test_bench_dwc_w08_i1_o4_q0_j0 \
test_bench_dwc_w08_i2_o1_q0_j0 \
test_bench_dwc_w08_i2_o2_q0_j0 \
@ikwzm
ikwzm / Makefile
Created April 1, 2012 05:09
Queue Arbiter VHDL RTL MODEL.
GHDL=ghdl
GHDLFLAGS=--mb-comments
GHDLRUNFLAGS=$(GHDLFLAGS)
WORK=work
TEST_BENCH = test_bench_one_hot_arch \
test_bench_integer_arch \
$(END_LIST)
all: $(TEST_BENCH)
@ikwzm
ikwzm / Makefile
Created March 30, 2012 11:09
Mersenne Twister Pseudo Random Number Generator for Altera FPGA
GHDL=ghdl
GHDLFLAGS =--ieee=synopsys -fexplicit --mb-comments
GHDLRUNFLAGS=--ieee=synopsys -fexplicit --mb-comments
WORK=work
TEST_BENCH = test_bench \
$(END_LIST)
all: $(TEST_BENCH)