Skip to content

Instantly share code, notes, and snippets.

@CCodeWarrior
CCodeWarrior / DSM.vhd
Created September 11, 2012 05:49
Delta Sigma
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
entity DSM is
Port ( Clk : in STD_LOGIC;
SignalOut : out STD_LOGIC;
SignalIn : in STD_LOGIC_VECTOR (9 downto 0));