Skip to content

Instantly share code, notes, and snippets.

View nickfox-taterli's full-sized avatar
😰
想哭

Tater Li nickfox-taterli

😰
想哭
View GitHub Profile
@nickfox-taterli
nickfox-taterli / 免流.md
Created April 24, 2023 08:16
免流记录

关于免流和混淆

一、什么是免流?

答:通过混淆协议的方式伪装正常使用的流量到套餐卡免费流量访问范围内,以此做到欺骗运营商,达到薅运营商羊毛的手段,并且部分卡和地区还可以突破无限流量(实际到某流量量限速到3G/2G)卡限速。

二、怎么样免流?

答:通过混淆协议的方式。例如SSR提供的混淆协议功能。

@nickfox-taterli
nickfox-taterli / tinyimagenet.ipynb
Created July 17, 2024 15:06
tinyimagenet like simple train
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
@nickfox-taterli
nickfox-taterli / 0001-Add-TaterLi-Custom-Patch-RIoTBoard.diff
Created June 14, 2024 14:03
0001-Add-TaterLi-Custom-Patch-RIoTBoard.diff
diff --git a/arch/arm/dts/imx6dl-riotboard.dts b/arch/arm/dts/imx6dl-riotboard.dts
index e7d9bfbfd0e..e09dc6e410f 100644
--- a/arch/arm/dts/imx6dl-riotboard.dts
+++ b/arch/arm/dts/imx6dl-riotboard.dts
@@ -176,7 +176,7 @@
VDDIO-supply = <&reg_3p3v>;
};
- pmic: pf0100@8 {
+ pmic: pfuze100@8 {
@nickfox-taterli
nickfox-taterli / rtl_bram.v
Created May 3, 2024 12:02
RTL AXI4Lite BRAM
module rtl_bram(
input wire clk,
(* X_INTERFACE_PARAMETER = "POLARITY ACTIVE_HIGH" *)
input wire rst,
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWADDR" *)
input wire [31:0] s_axil_awaddr,
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S_AXI AWPROT" *)
input wire [2:0] s_axil_awprot,
@nickfox-taterli
nickfox-taterli / wsram.v
Created April 2, 2024 14:13
wishbone sram
module top(
input [31:0] adr,
input [31:0] dat_w,
output reg [31:0] dat_r,
input [3:0] sel,
input cyc,
input stb,
output reg ack,
input we,
input [2:0] cti,
@nickfox-taterli
nickfox-taterli / spi.v
Created March 23, 2024 13:39
spi slave at tang nano 20k
module top(
input wire clk_i,
input wire rst_i,
input wire sdi_csn_i,
input wire sdi_clk_i,
input wire sdi_dat_i,
output wire sdi_dat_o,
output wire [5:0] led
@nickfox-taterli
nickfox-taterli / bram_axil.v
Last active February 15, 2024 14:01
bram_axil.v
module bram_axil(
input wire clk,
input wire rst,
input wire [31:0] s_axil_awaddr,
input wire [2:0] s_axil_awprot,
input wire s_axil_awvaild,
output wire s_axil_awready,
input wire [31:0] s_axil_wdata,
input wire [3:0] s_axil_wstrb,
@nickfox-taterli
nickfox-taterli / Dockerfile.shadowsocks-libev
Created June 20, 2020 11:01
shadowsocks-libev Dockerfile
FROM alpine
MAINTAINER TaterLi <admin@lijingquan.net>
RUN set -ex && \
apk add --no-cache udns && \
apk add --no-cache --virtual .build-deps \
git autoconf automake make build-base \
curl libev-dev c-ares-dev libtool linux-headers \
libsodium-dev mbedtls-dev pcre-dev tar udns-dev && \
cd /tmp/ && \
@nickfox-taterli
nickfox-taterli / cnspeedtest.sh
Created December 27, 2020 13:47
测速到国内的速度
#!/usr/bin/env bash
# Colors
RED='\033[0;31m'
GREEN='\033[0;32m'
YELLOW='\033[0;33m'
BLUE='\033[0;34m'
PURPLE="\033[0;35m"
CYAN='\033[0;36m'
PLAIN='\033[0m'
@nickfox-taterli
nickfox-taterli / superspeed.sh
Created January 13, 2021 07:32
Super speed
#!/usr/bin/env bash
# Colors
RED='\033[0;31m'
GREEN='\033[0;32m'
YELLOW='\033[0;33m'
BLUE='\033[0;34m'
PURPLE="\033[0;35m"
CYAN='\033[0;36m'
PLAIN='\033[0m'