Skip to content

Instantly share code, notes, and snippets.

$ roseus cobotta-interface.l # Requirement: https://github.com/jsk-ros-pkg/jsk_robot/tree/master/jsk_denso_robot#how-to-setup-development-environment-on-your-pc
configuring by "/opt/ros/melodic/share/euslisp/jskeus/eus//lib/eusrt.l"
;; readmacro ;; object ;; packsym ;; common ;; constants ;; stream ;; string ;; loader ;; pprint ;; process ;; hashtab ;; array ;; mathtran ;; eusdebug ;; eusforeign ;; extnum ;; coordinates ;; tty ;; history ;; toplevel ;; trans ;; comp ;; builtins ;; par ;; intersection ;; geoclasses ;; geopack ;; geobody ;; primt ;; compose ;; polygon ;; viewing ;; viewport ;; viewsurface ;; hid ;; shadow ;; bodyrel ;; dda ;; helpsub ;; eushelp ;; xforeign ;; Xdecl ;; Xgraphics ;; Xcolor ;; Xeus ;; Xevent ;; Xpanel ;; Xitem ;; Xtext ;; Xmenu ;; Xscroll ;; Xcanvas ;; Xtop ;; Xapplwin
connected to Xserver DISPLAY=:1
X events are being asynchronously monitored.
;; pixword ;; RGBHLS ;; convolve ;; piximage ;; pbmfile ;; image_correlation ;; oglforeign ;; gldecl ;; glconst ;; glforeign ;; gluconst
--- ws_hiraoka_before/src/rtmros_tutorials/hrpsys_ros_bridge_tutorials/models/HIRONXJSK_controller_config.yaml 2023-04-12 17:52:21.286520396 +0900
+++ ws_hiraoka_after/src/rtmros_tutorials/hrpsys_ros_bridge_tutorials/models/HIRONXJSK_controller_config.yaml 2023-04-13 20:49:13.020672358 +0900
@@ -7,15 +7,11 @@
## joint_list: ## list of using joints
## - <joint_name>
controller_configuration:
- - group_name: larm
- controller_name: /larm_controller
+ - group_name: head
+ controller_name: /head_controller
- git:
local-name: jsk_model_tools
uri: https://github.com/Naoki-Hiraoka/jsk_model_tools.git
version: custom_limb-checkjoint
- git:
local-name: jsk_pr2eus
uri: https://github.com/jsk-ros-pkg/jsk_pr2eus.git
version: master
- git:
local-name: multisense
- git:
local-name: jsk_model_tools
uri: https://github.com/jsk-ros-pkg/jsk_model_tools.git
version: master
- git:
local-name: multisense
uri: https://github.com/Naoki-Hiraoka/multisense_ros
version: 3.4.9_fixed
- git:
local-name: rtmros_common
This file has been truncated, but you can view the full file.
--- ws_hiraoka_before/src/rtmros_tutorials/hrpsys_ros_bridge_tutorials/models/hironxjsk.l 2023-04-12 17:52:52.758058802 +0900
+++ ws_hiraoka_after/src/rtmros_tutorials/hrpsys_ros_bridge_tutorials/models/hironxjsk.l 2023-04-13 20:49:44.844332787 +0900
@@ -1,9 +1,9 @@
;;
;; DO NOT EDIT THIS FILE
;;
-;; this file is automatically generated from /home/pazeshun/ros/ws_hiraoka/src/rtmros_tutorials/hrpsys_ros_bridge_tutorials/models/hironxjsk.l on (Linux pazeshun-ThinkPad-T460s-1804 5.4.0-146-generic x86_64) at 2023-04-12 17:52:52 JST
+;; this file is automatically generated from /home/pazeshun/ros/ws_hiraoka_after/src/rtmros_tutorials/hrpsys_ros_bridge_tutorials/models/hironxjsk.l on (Linux pazeshun-ThinkPad-T460s-1804 5.4.0-146-generic x86_64) at 2023-04-13 20:49:44 JST
;;
-;; /home/pazeshun/ros/ws_hiraoka/build/hrpsys_ros_bridge_tutorials $ ;;
(load "package://hrpsys_ros_bridge_tutorials/euslisp/hironxjsk-interface.l")
(defmethod hironxjsk-interface
(:init (&rest args &key (type nil) &allow-other-keys)
(setq robot (instance hironxjsk-robot :init))
;;; Define {limb}-controller, usually we can define manually as jsk_robots
;(dolist (limb '(:rarm :larm :head :torso))
; (send self :def-limb-controller-method limb))
;; If gazebo with ros_control, overwrite :default-controller
(setq on-gazebo-ros-control
;;
;; DO NOT EDIT THIS FILE
;; this file is automatically generated from euslisp+euslib version
;;
;;
(defclass lora-object
:super cascaded-link
:slots (sensors
handle0
attention0
;;
;; DO NOT EDIT THIS FILE
;; this file is automatically generated from euslisp+euslib version
;;
;;
(defclass cocacola-object
:super cascaded-link
:slots (sensors
handle0
attention0
;;
;; DO NOT EDIT THIS FILE
;; this file is automatically generated from euslisp+euslib version
;;
;;
(defclass pepsi-object
:super cascaded-link
:slots (sensors
handle0
attention0
pazeshun@pazeshun-ThinkPad-T460s-1804:~$ git clone http://github.com/euslisp/jskeus ~/jskeus
Cloning into '/home/pazeshun/jskeus'...
warning: redirecting to https://github.com/euslisp/jskeus/
remote: Enumerating objects: 36037, done.
remote: Counting objects: 100% (286/286), done.
remote: Compressing objects: 100% (121/121), done.
remote: Total 36037 (delta 171), reused 257 (delta 157), pack-reused 35751
Receiving objects: 100% (36037/36037), 87.57 MiB | 10.61 MiB/s, done.
Resolving deltas: 100% (26608/26608), done.
pazeshun@pazeshun-ThinkPad-T460s-1804:~$ mkdir test_euslib