- 概要
- 役割
- 性質
- 身長
- 重量
- 外見 :: 顔 体 髪 服飾 好む色 特徴
#!/bin/sh | |
# -*- coding:utf-8 posix -*- | |
# === Initialize shell environment ============================================= | |
#set -u # Just stop undefined values. | |
#set -e # Just stop error. | |
#set -x # Debug running command. | |
umask 0022 | |
export LC_ALL=C | |
export LANG=C |
#!/bin/bash --posix | |
# -*- coding:utf-8 -*- | |
# === Coding shell scripting Memo ============================================== | |
# ${<name>#<pattern>} :: matching delete with shortest by forword. | |
# ${<name>##<pattern>} :: matching delete with longest by forword. | |
# ${<name>%<pattern>} :: matching delete with shortest by backword. | |
# ${<name>%%<pattern>} :: mathing delete with longest by backword. | |
# ${<name>/<before>/<after>} :: replace only first matching. | |
# ${<name>//<before>/<after>} :: replace all matching. |
[entity-name] | |
air-filter-machine-mk1=空気清浄機 癸型 | |
air-filter-machine-mk2=空気清浄機 壬型 | |
air-filter-machine-mk3=空気清浄機 辛型 | |
air-filter-machine-mk4=空気清浄機 庚型 | |
air-filter-machine-mk5=空気清浄機 己型 | |
air-filter-machine-mk6=空気清浄機 戊型 | |
[item-name] | |
unused-air-filter=エアフィルタ |
--For 0.13.13 and later there will be layer-10 through layer-15 that can be used. | |
local projectile_layer = "layer-13" | |
local suffix = "-blockable" | |
local function make_projectile_blockable (prototype_name) | |
local prototype = data.raw.projectile[prototype_name] | |
if not prototype then | |
return prototype_name | |
end | |
local newname = prototype.name .. "-blockable" |
data:extend( | |
{ | |
{ | |
type = "recipe", | |
name = "distillation-science-pack-2", | |
enabled = "true", | |
ingredients = | |
{ | |
{"science-pack-1", 3} | |
}, |
library ieee; | |
use ieee.std_logic_1164.all; | |
entity encode_7seg is | |
generic(COMMON: integer range 0 to 1 := 0); | |
port(DIN: in integer range 0 to 127; | |
DOT: in std_logic; | |
SEG7LED: out std_logic_vector(7 downto 0)); | |
end encode_7seg; |
## Arty constraints file | |
## project: ????? | |
## Manual <https://reference.digilentinc.com/reference/programmable-logic/arty-a7/reference-manual> | |
## Pin <https://reference.digilentinc.com/_media/reference/programmable-logic/arty-a7/arty_a7_sch.pdf> | |
## Clock signal | |
set_property PACKAGE_PIN E3 [get_ports {CLK}] | |
set_property IOSTANDARD LVCMOS33 [get_ports {CLK}] | |
create_clock -add -name sys_clk_pin -period 10.00 \ | |
-waveform {0 5} [get_ports {CLK}] |
#!/bin/bash --posix | |
# -*- coding:utf-8 -*- | |
# === Coding shell scripting Memo ============================================== | |
# ${<name>#<pattern>} :: matching delete with shortest by forword. | |
# ${<name>##<pattern>} :: matching delete with longest by forword. | |
# ${<name>%<pattern>} :: matching delete with shortest by backword. | |
# ${<name>%%<pattern>} :: mathing delete with longest by backword. | |
# ${<name>/<before>/<after>} :: replace only first matching. | |
# ${<name>//<before>/<after>} :: replace all matching. |