This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Yosys GateMate (synth_gatemate -noiopad): | |
=== mkOpcodeDecoder === | |
Number of wires: 242 | |
Number of wire bits: 675 | |
Number of public wires: 15 | |
Number of public wire bits: 84 | |
Number of memories: 0 | |
Number of memory bits: 0 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
(* always_enabled, always_ready *) | |
interface Top; | |
method Bit#(1) led(); | |
method Action btn(Bit#(6) val); | |
endinterface | |
interface Foo; | |
method Bit#(1) status(); | |
method Action do_something_with_btn_input(Bit#(6) val); | |
... |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
// | |
// Generated by Bluespec Compiler (build 3467c84) | |
// | |
// On Tue Feb 23 01:14:43 PST 2021 | |
// | |
// | |
// Ports: | |
// Name I/O size props | |
// tx O 1 reg | |
// CLK I 1 clock |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
[100%] Building yosys | |
Undefined symbols for architecture x86_64: | |
"Verific::SdfDelay12::SdfDelay12(Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*, Verific::SdfDelay*)", referenced from: | |
Verific::VeriPath::Elaborate(Verific::VeriExpression*, Verific::Array const*, unsigned int) const in verilog-mac.a(VeriMisc_Elab.o) | |
"Verific::SdfRealValue::SdfRealValue(double)", referenced from: | |
Verific::VeriValue::ToSdfValue() in verilog-mac.a(VeriValue_Elab.o) | |
"Verific::SdfMinTypMaxValue::SdfMinTypMaxValue(Verific::SdfRealValue*, Verific::SdfRealValue*, Verific::SdfRealValue*)", referenced from: | |
Verific::VeriMinTypMaxVal::ToSdfValue() in verilog-mac.a(VeriValue_Elab.o) | |
"Verific::SdfDelay2::SdfDelay2(Verific::SdfDelay*, Verific::SdfDelay*)", referenced from: | |
Verific::VeriPath::Elaborate(Verific::VeriExpression*, Verific::Arra |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
def verilog_module(**kwargs): | |
export_file(**kwargs) | |
def verilog_test(**kwargs): | |
genrule( | |
name = kwargs['name'], | |
srcs = kwargs.get('srcs', []), | |
out = kwargs['name'], | |
cmd = 'iverilog -g2012 -Wall -Wno-timescale -o $OUT {deps} $SRCS'.format( | |
deps = ' '.join([ |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
diff --git a/thrift/lib/cpp/Makefile.am b/thrift/lib/cpp/Makefile.am | |
index 9b727fa..47fbd33 100644 | |
--- a/thrift/lib/cpp/Makefile.am | |
+++ b/thrift/lib/cpp/Makefile.am | |
@@ -82,7 +82,8 @@ libthrift_la_SOURCES = EventHandlerBase.cpp \ | |
util/FdUtils.cpp \ | |
util/THttpParser.cpp \ | |
util/SocketRetriever.cpp \ | |
- util/VarintUtils.cpp | |
+ util/VarintUtils.cpp \ |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
diff --git a/thrift/lib/cpp/Makefile.am b/thrift/lib/cpp/Makefile.am | |
index 9b727fa..ebd4c46 100644 | |
--- a/thrift/lib/cpp/Makefile.am | |
+++ b/thrift/lib/cpp/Makefile.am | |
@@ -95,7 +95,7 @@ libthriftz_la_SOURCES = \ | |
# Flags for the various libraries | |
-libthrift_la_LIBADD = $(FOLLY_LIBS) | |
+libthrift_la_LIBADD = $(FOLLY_LIBS) -lboost_system -lboost_thread |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
tar -zxf /home/arjen/tool-chain/tmp/musl-1.1.9.tar.gz -C /home/arjen/tool-chain/tmp | |
cd /home/arjen/tool-chain/tmp/musl-1.1.9 && \ | |
CC=/home/arjen/tool-chain/bin/mips-linux-gnu-gcc \ | |
CFLAGS="-march=24kc -msoft-float -g" \ | |
/home/arjen/tool-chain/tmp/musl-1.1.9/configure \ | |
--prefix=/usr/local \ | |
--target=mips-sf-linux-gnu \ | |
--bindir=/home/arjen/tool-chain/bin | |
checking for C compiler... /home/arjen/tool-chain/bin/mips-linux-gnu-gcc | |
checking whether C compiler works... yes |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
/home/arjen/tool-chain/lib/gcc/mips-linux-gnu/4.8.4/../../../../mips-linux-gnu/bin/ld: Warning: lib/libc.so uses -msoft-float (set by src/aio/aio.lo), src/internal/syscall.lo uses -mhard-float | |
/home/arjen/tool-chain/lib/gcc/mips-linux-gnu/4.8.4/../../../../mips-linux-gnu/bin/ld: Warning: lib/libc.so uses -msoft-float (set by src/aio/aio.lo), src/ldso/dlsym.lo uses -mhard-float | |
/home/arjen/tool-chain/lib/gcc/mips-linux-gnu/4.8.4/../../../../mips-linux-gnu/bin/ld: Warning: lib/libc.so uses -msoft-float (set by src/aio/aio.lo), src/setjmp/longjmp.lo uses -mhard-float | |
/home/arjen/tool-chain/lib/gcc/mips-linux-gnu/4.8.4/../../../../mips-linux-gnu/bin/ld: Warning: lib/libc.so uses -msoft-float (set by src/aio/aio.lo), src/setjmp/setjmp.lo uses -mhard-float | |
/home/arjen/tool-chain/lib/gcc/mips-linux-gnu/4.8.4/../../../../mips-linux-gnu/bin/ld: Warning: lib/libc.so uses -msoft-float (set by src/aio/aio.lo), src/signal/restore.lo uses -mhard-float | |
/home/arjen/tool-chain/lib/gcc/mips-linux-gnu/4.8.4/../../../../mips-lin |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#!/bin/sh | |
# Creating temp dirs | |
mkdir .compile_PHPUnit_$$ | |
cd .compile_PHPUnit_$$ | |
# Fetching the archives | |
wget -nv http://pear.phpunit.de/get/PHPUnit-3.5.15.tgz | |
wget -nv http://pear.phpunit.de/get/DbUnit-1.0.0.tgz | |
wget -nv http://pear.phpunit.de/get/File_Iterator-1.2.3.tgz |