Skip to content

Instantly share code, notes, and snippets.

///// TODO : Replace <<LAN_INTERFACE>> with internal bridge or lan interface, replace <<<PPPOE_DIGI_INTERFACE>>> with the pppoe-digi interface
/ipv6 nd
set [ find default=yes ] disabled=yes
add advertise-dns=yes interface=<<LAN_INTERFACE>>
/ipv6 dhcp-client
add add-default-route=yes interface=<<<PPPOE_DIGI_INTERFACE>>> pool-name=pool6 request=address,prefix script=":del\
ay 5s;\
\n/ipv6 address remove [find advertise=yes]\
@coldcue
coldcue / pokemons.js
Last active February 5, 2017 05:57
Pokemons
[{id:1,name:'Bulbasaur'},
{id:2,name:'Ivysaur'},
{id:3,name:'Venusaur'},
{id:4,name:'Charmander'},
{id:5,name:'Charmeleon'},
{id:6,name:'Charizard'},
{id:7,name:'Squirtle'},
{id:8,name:'Wartortle'},
{id:9,name:'Blastoise'},
{id:10,name:'Caterpie'},
#include <stdio.h>
/* The struct of the rectangles */
typedef struct {
float x;
float y;
float width;
float height;
char* fill;
#include <iostream>
#include <thread>
#include <ctime>
using namespace std;
void calc(unsigned long iterations) {
unsigned long n = 0;
for (unsigned long i = 0; i < iterations; i++) {
n = n + n * (i % 5);
//Process started
reg started;
//////////////
// States
// 0: load
// 1: start bit
// 2..8: data
// 9: parity bit
// 10..11: stop bits
module rategen(
input clk,
input rst,
output cy
);
reg [25:0] cntr;
assign cy = (cntr == 4);
//assign cy = (cntr == 49999999);
module shr_4(
input clk,
input ce,
input rst,
output [3:0] out
);
reg [3:0] shr;
assign out = shr;
#include "Wifi.h"
#include "Arduino.h"
//Wifi beallitas
void Wifi::init() {
char buf[256];
Serial1.begin(9600);
Serial1.setTimeout(250);
Serial.println("WIFI: Command mode ON");
@coldcue
coldcue / dog.java
Last active December 30, 2015 07:39
//Java pass-by-value
public void foo(Dog d) {
d.getName().equals("Max"); // true
d = new Dog("Fifi");
d.getName().equals("Fifi"); // true
}
Dog aDog = new Dog("Max");
foo(aDog);
aDog.getName().equals("Max"); // true
package com.producer;
import java.util.Random;
public class Application {
public static void main(String[] args) {
FIFOBuffer<String> fifoBuffer = new FIFOBuffer<>(10);
Consumer<String> stringConsumer;