Skip to content

Instantly share code, notes, and snippets.

View axpence's full-sized avatar

Alex Spencer axpence

View GitHub Profile
@axpence
axpence / lab4_seven_seg.vhd
Last active August 29, 2015 13:55
lab4 - seven segment decoder -- almost passed of...
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:21:01 01/29/2014
-- Design Name:
-- Module Name: SevenSeg - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
@axpence
axpence / hw.vhd
Last active August 29, 2015 13:55
hw4 ECEn 320
--
-- Lecture 9 Problem #1
-- 8.3
-- Alex Spencer.
--
library IEEE;
use IEEE.numeric_std.all;
use ieee.std_logic_1164.all;
@axpence
axpence / hw4.m
Last active August 29, 2015 13:56
HW4 - 370 matlab
%%%%
% ECEn 370 Homework 4 Problem Example
% January 28, 2011
clear all;
load('burgerfry.mat');
BF = [0 0 0 0;...
0 0 0 0;...
0 0 0 0;...
@axpence
axpence / gist:8829569
Last active August 29, 2015 13:56
LAB5 - EE320 - passing test bench, holy hell.
----------------------------------------------------------------------------------
-- Company: BYU
-- Engineer: ALEX SPENCER
-- Create Date: 14:29:00 02/04/2014
-- Module Name: vga_timing - Behavioral
-- Revision 0.01 - File Created
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
@axpence
axpence / TOPPER.vhd
Created February 6, 2014 00:13
TOPPER - other guys code.,
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.lab4package.all;
entity lab4top is
port(
-- input
top_clk: in std_logic;
switches : in std_logic_vector(2 downto 0);
@axpence
axpence / hello.vhd
Last active August 29, 2015 13:56
Lab5 - VGA controller ECEn 320 -- top level, not working.
----------------------------------------------------------------------------------
-- Company: BREGHM YENG UNEVERSETY
--
-- Create Date: 11:55:25 02/05/2014
-- Design Name:
-- Module Name: TopLevelVGA - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
@axpence
axpence / Second Order Arrays.c
Last active August 29, 2015 13:56
AA BB for 2nd order
aa = {
{1.0000, -1.2086, 2.2766, -1.1561, 0.9150},
{1.0000, -0.7329, 2.0455, -0.7010, 0.9150},
{1.0000, -0.2456, 1.9263, -0.2349, 0.9150},
{1.0000, 0.2456, 1.9263, 0.2349, 0.9150},
{1.0000, 0.7329, 2.0455, 0.7010, 0.9150},
{1.0000, 1.2086, 2.2766, 1.1561, 0.9150},
{1.0000, 1.6653, 2.6048, 1.5929, 0.9150},
{1.0000, 2.0957, 3.0097, 2.0046, 0.9150},
@axpence
axpence / before_queues.c
Created February 11, 2014 16:59
BEFORE QUEUES STARTING QUEUES NOW.
#include <stdio.h>
#include "platform.h"
#include "xspi.h"
#include "xtmrctr.h"
#include "xgpio.h"
#include "xscugic.h"
#include "queue.h"
#define SS_MASK 0x00000001
#define TIMER_DURATION 1000
@axpence
axpence / lab6.vhd
Last active August 29, 2015 13:56
lab6-ECEn320
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
@axpence
axpence / lecture15.vhd
Created February 18, 2014 20:50
YOLOBRISCOE
-- Lecture 15 --------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fifo_sync_ctrl4 is
port(
clk, reset : in std_logic;
wr, rd: in std_logic;
full, empty : out std_logic;
w_addr, r_addr : out std_logic_vector(1 downto 0)