This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
---------------------------------------------------------------------------------- | |
-- Company: | |
-- Engineer: | |
-- | |
-- Create Date: 13:21:01 01/29/2014 | |
-- Design Name: | |
-- Module Name: SevenSeg - Behavioral | |
-- Project Name: | |
-- Target Devices: | |
-- Tool versions: |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
-- | |
-- Lecture 9 Problem #1 | |
-- 8.3 | |
-- Alex Spencer. | |
-- | |
library IEEE; | |
use IEEE.numeric_std.all; | |
use ieee.std_logic_1164.all; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
%%%% | |
% ECEn 370 Homework 4 Problem Example | |
% January 28, 2011 | |
clear all; | |
load('burgerfry.mat'); | |
BF = [0 0 0 0;... | |
0 0 0 0;... | |
0 0 0 0;... |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
---------------------------------------------------------------------------------- | |
-- Company: BYU | |
-- Engineer: ALEX SPENCER | |
-- Create Date: 14:29:00 02/04/2014 | |
-- Module Name: vga_timing - Behavioral | |
-- Revision 0.01 - File Created | |
---------------------------------------------------------------------------------- | |
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
use IEEE.NUMERIC_STD.ALL; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
use work.lab4package.all; | |
entity lab4top is | |
port( | |
-- input | |
top_clk: in std_logic; | |
switches : in std_logic_vector(2 downto 0); |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
---------------------------------------------------------------------------------- | |
-- Company: BREGHM YENG UNEVERSETY | |
-- | |
-- Create Date: 11:55:25 02/05/2014 | |
-- Design Name: | |
-- Module Name: TopLevelVGA - Behavioral | |
-- Project Name: | |
-- Target Devices: | |
-- Tool versions: | |
-- Description: |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
aa = { | |
{1.0000, -1.2086, 2.2766, -1.1561, 0.9150}, | |
{1.0000, -0.7329, 2.0455, -0.7010, 0.9150}, | |
{1.0000, -0.2456, 1.9263, -0.2349, 0.9150}, | |
{1.0000, 0.2456, 1.9263, 0.2349, 0.9150}, | |
{1.0000, 0.7329, 2.0455, 0.7010, 0.9150}, | |
{1.0000, 1.2086, 2.2766, 1.1561, 0.9150}, | |
{1.0000, 1.6653, 2.6048, 1.5929, 0.9150}, | |
{1.0000, 2.0957, 3.0097, 2.0046, 0.9150}, |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
#include <stdio.h> | |
#include "platform.h" | |
#include "xspi.h" | |
#include "xtmrctr.h" | |
#include "xgpio.h" | |
#include "xscugic.h" | |
#include "queue.h" | |
#define SS_MASK 0x00000001 | |
#define TIMER_DURATION 1000 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
library IEEE; | |
use IEEE.STD_LOGIC_1164.ALL; | |
use IEEE.NUMERIC_STD.ALL; | |
-- Uncomment the following library declaration if using | |
-- arithmetic functions with Signed or Unsigned values | |
--use IEEE.NUMERIC_STD.ALL; | |
-- Uncomment the following library declaration if instantiating |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
-- Lecture 15 -------------------------- | |
library ieee; | |
use ieee.std_logic_1164.all; | |
use ieee.numeric_std.all; | |
entity fifo_sync_ctrl4 is | |
port( | |
clk, reset : in std_logic; | |
wr, rd: in std_logic; | |
full, empty : out std_logic; | |
w_addr, r_addr : out std_logic_vector(1 downto 0) |
OlderNewer